![EDA課程設(shè)計(jì)數(shù)字式競賽搶答器_第1頁](http://file2.renrendoc.com/fileroot_temp3/2021-9/1/75694add-e4e9-459f-bfbb-129ed85c3710/75694add-e4e9-459f-bfbb-129ed85c37101.gif)
![EDA課程設(shè)計(jì)數(shù)字式競賽搶答器_第2頁](http://file2.renrendoc.com/fileroot_temp3/2021-9/1/75694add-e4e9-459f-bfbb-129ed85c3710/75694add-e4e9-459f-bfbb-129ed85c37102.gif)
![EDA課程設(shè)計(jì)數(shù)字式競賽搶答器_第3頁](http://file2.renrendoc.com/fileroot_temp3/2021-9/1/75694add-e4e9-459f-bfbb-129ed85c3710/75694add-e4e9-459f-bfbb-129ed85c37103.gif)
![EDA課程設(shè)計(jì)數(shù)字式競賽搶答器_第4頁](http://file2.renrendoc.com/fileroot_temp3/2021-9/1/75694add-e4e9-459f-bfbb-129ed85c3710/75694add-e4e9-459f-bfbb-129ed85c37104.gif)
![EDA課程設(shè)計(jì)數(shù)字式競賽搶答器_第5頁](http://file2.renrendoc.com/fileroot_temp3/2021-9/1/75694add-e4e9-459f-bfbb-129ed85c3710/75694add-e4e9-459f-bfbb-129ed85c37105.gif)
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、課程 eda技術(shù)課程設(shè)計(jì)題目 數(shù)字式競賽搶答器專業(yè) 電子信息工程 姓主要內(nèi)容、基本要求、主要參考資料等主要內(nèi)容:設(shè)計(jì)一個(gè)可容納6組參賽的數(shù)字式搶答器,當(dāng)?shù)谝粋€(gè)人按下?lián)尨鸢粹o時(shí),其他組的按鈕不起作用。當(dāng)主持人按下“復(fù)位”按鈕,所有組的按鍵才可用?;疽螅?、設(shè)計(jì)一個(gè)可容納6組參賽的數(shù)字式搶答器,每組設(shè)一個(gè)按鈕,供搶答使用。2、搶答器具有第一信號(hào)鑒別和鎖存功能,使除第一搶答者外的按鈕不起作用。3、設(shè)置一個(gè)主持人“復(fù)位”按鈕。4、主持人復(fù)位后,開始搶答,第一信號(hào)鑒別鎖存電路得到信號(hào)后,有指示燈顯示搶答組別,揚(yáng)聲器發(fā)出2-3秒的音響。5、設(shè)置一個(gè)計(jì)分電路,每組開始預(yù)置100分,由主持人記分,答對(duì)一次
2、加10分,答錯(cuò)一次減10分。主要參考資料:1 潘松著.eda技術(shù)實(shí)用教程(第二版). 北京:科學(xué)出版社,2005.2 康華光主編.電子技術(shù)基礎(chǔ) 模擬部分. 北京:高教出版社,2006.3 閻石主編.數(shù)字電子技術(shù)基礎(chǔ). 北京:高教出版社,2003.完成期限 2011.3.11 指導(dǎo)教師 專業(yè)負(fù)責(zé)人 2011年 3月7日一、總體設(shè)計(jì)思想1.基本原理根據(jù)系統(tǒng)設(shè)計(jì)要求可知,系統(tǒng)的輸入信號(hào)有:各組的搶答按鈕abcdef,系統(tǒng)清零信號(hào)clr,系統(tǒng)時(shí)鐘信號(hào)clk,計(jì)分復(fù)位端rst,加分按鈕add,減分按鈕acc,系統(tǒng)的輸出信號(hào)有:六個(gè)組搶答成功與否的指示燈控制信號(hào)輸出口leda、ledb、ledc、ledd
3、、lede、ledf,六個(gè)組搶答時(shí)的搶答時(shí)的計(jì)時(shí)數(shù)碼顯示控制信號(hào)若干,搶答成功組別顯示的控制信號(hào)若干,各組計(jì)分動(dòng)態(tài)顯示的控制信號(hào)若干。本系統(tǒng)應(yīng)具有的功能有:第一搶答信號(hào)的鑒別和鎖存功能;搶答計(jì)分功能;各組得分的累加和動(dòng)態(tài)顯示功能。根據(jù)以上分析,我們可將整個(gè)系統(tǒng)分為三個(gè)主要模塊:搶答鑒別模塊qdjb;搶答計(jì)分模塊jfq;顯示譯碼模塊ymq。系統(tǒng)的工作原理如下:當(dāng)主持人按下使能端clr時(shí),搶答器開始工作,abcdef六個(gè)搶答者誰先搶答成功則此選手的臺(tái)號(hào)燈(leda-ledf)將點(diǎn)亮,并且主持人前的組別顯示數(shù)碼將顯示出搶答成功者的臺(tái)號(hào),揚(yáng)聲器發(fā)出2-3秒的音響。接下來主持人提問若回答正確,主持人按加
4、分按鈕add,若回答錯(cuò)誤,按減分按鈕acc,搶答計(jì)分模塊jfq將給對(duì)應(yīng)的組加分或者減分,并將組該的總分顯示在對(duì)應(yīng)的選手計(jì)分?jǐn)?shù)碼管上。完成第一輪搶答后,主持人清零,接著重新開始,步驟如上。2.設(shè)計(jì)框圖搶答啟動(dòng)選手搶答加減分顯示:搶答選手號(hào)選手得分蜂鳴器二、設(shè)計(jì)步驟和調(diào)試過程1、 總體設(shè)計(jì)電路2、 模塊設(shè)計(jì)和相應(yīng)模塊程序l 搶答鑒別模塊 rst states3.0clk2 tmps0s1s2s3s4s5 qdjblibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity qdjb isport(rs
5、t,clk2:in std_logic; s0,s1,s2,s3,s4,s5:in std_logic; states:buffer std_logic_vector(5 downto 0);tmp:out std_logic);end qdjb;architecture one of qdjb issignal st:std_logic_vector(5 downto 0);beginp1:process(s0,rst,s1,s2,s3,s4,s5,clk2)begin if rst=0 then tmp=0;st=0000; elsif clk2event and clk2=1 then
6、if (s0=1 or st(0)=1)and not( st(1)=1 or st(2)=1 or st(3)=1 or st(4)=1 or st(5)=1 ) then st(0)=1; end if ; if (s1=1 or st(1)=1)and not( st(0)=1 or st(2)=1 or st(3)=1 or st(4)=1 or st(5)=1) then st(1)=1; end if ; if (s2=1 or st(2)=1)and not( st(0)=1 or st(1)=1 or st(3)=1 or st(4)=1 or st(5)=1) then st
7、(2)=1; end if ; if (s3=1 or st(3)=1)and not( st(0)=1 or st(1)=1 or st(2)=1 or st(4)=1 or st(5)=1) then st(3)=1;if (s4=1 or st(4)=1)and not( st(0)=1 or st(1)=1 or st(2)=1 or st(3)=1 or st(5)=1) then st(4)=1; end if ; if (s5=1 or st(5)=1)and not( st(0)=1 or st(1)=1 or st(2)=1 or st(3)=1 or st(5)=1) th
8、en st(5)=1; end if ;tmp=s0 or s1 or s2 or s3 or s4 or s5;end if ;end process p1;p2:process(states(0),states(1),states(2),states(3) ,states(4),states(5) begin if (st=0000) then states=0000; elsif (st=0001) then states=0001;elsif (st=0010) then states=0010; elsif (st=0100) then states=0011;elsif (st=0
9、100) then states=0100; elsif (st=0101) then states=0101; elsif (st=1000) then states=0110; end if; end process p2;end one;搶答鑒別模塊用來準(zhǔn)確直觀地判斷a、b、c、d、e、f六組搶答者誰最先按下按鈕,并為顯示端送出信號(hào),通過數(shù)顯和蜂鳴等途徑使觀眾能夠清楚地知道是哪一組搶答成功,是整個(gè)系統(tǒng)的核心部分。同時(shí)組別顯示端為下一模塊輸入信號(hào),以方便主持人為該組搶答成功者進(jìn)行加減分的操作。l 計(jì)分器模塊library ieee;use ieee.std_logic_1164.all;u
10、se ieee.std_logic_unsigned.all;entity js isport(clk,rst,s,stop:in std_logic; warn:out std_logic; ta,tb:buffer std_logic_vector(3 downto 0);end js;architecture one of js issignal co:std_logic;beginp1:process(clk,rst,s,stop,ta) begin if rst=0 or stop=1 then ta=0000; elsif clkevent and clk=1 then co=0;
11、 if s=1 then if ta=0000 then ta=1001;co=1; else ta=ta-1; end if; end if; end if;end process p1;p2:process(co,rst,s,stop,tb) begin if rst=0 or stop=1 then tb=0010; elsif coevent and co=1 then if s=1 then if tb=0000 then tb=0011; else tbdout7dout7dout7dout7dout7dout7dout7dout7dout7dout7dout7=0000000;
12、end case; end process;end architecture art;在這個(gè)模塊中主要實(shí)現(xiàn)搶答過程中將bcd碼轉(zhuǎn)換成7段的功能。3、仿真及仿真結(jié)果分析l 搶答鑒別模塊仿真圖l 譯碼模塊仿真圖l 計(jì)分器模塊仿真圖3、 實(shí)驗(yàn)調(diào)試結(jié)果搶答鑒別模塊:第一個(gè)按下鍵的小組,搶答信號(hào)判定電路lock通過緩沖輸出信號(hào)的反饋將本參賽組搶先按下按鍵的信號(hào)鎖存,并且以異步清零的方式將其他參賽組的鎖存器清零,組別顯示、計(jì)時(shí)和計(jì)分會(huì)保存到主持人對(duì)系統(tǒng)進(jìn)行清零操作時(shí)為止。當(dāng)ini=1時(shí)系統(tǒng)復(fù)位,使組別顯示信號(hào)g=0000,各組的指示燈信號(hào)a1=0,b1=0,c1=0,d1=0;當(dāng)ini=0,即低電平有效,
13、使其進(jìn)入搶答鑒別狀態(tài),到clk的上升沿到來時(shí),以a組搶答成功為例,當(dāng)輸入信號(hào)為a=1,b=0,c=0,d=0,輸出信號(hào)g=1000,a1=1,即為鑒別出a組搶答成功,同時(shí)屏蔽其他組的輸入信號(hào),以免發(fā)生錯(cuò)誤。同理其他組別搶答成功也是這樣的鑒別過程。記分模塊為哪組進(jìn)行記分取決于鑒別模塊的輸入信號(hào)g,當(dāng)g=1000時(shí)表示a組最先搶答,則在此模塊中為a組記分,當(dāng)g=0100時(shí)表示b組最先搶答,則在此模塊中為b組記分,當(dāng)g=0010時(shí)表示c組最先搶答,則在此模塊中為c組記分,當(dāng)g=0001時(shí)表示d組最先搶答,則在此模塊中為d組記分。以a組為例來說明此模塊的設(shè)計(jì),當(dāng)復(fù)位信號(hào)rst=1時(shí),系統(tǒng)復(fù)位且a組的分值顯示初始值,為100分。當(dāng)rst=0時(shí),如果該組選手答題正確,則主持人按下加分鍵,即add=1,此時(shí)對(duì)該組進(jìn)行加分操作;如果該組選手答題錯(cuò)誤,則主持人按下減分鍵,即sub=1,此時(shí)對(duì)該組進(jìn)行減分操作。三、結(jié)論及心得體會(huì)通過這次對(duì)搶答器的設(shè)計(jì)和實(shí)踐,學(xué)到了很多的東西,不僅鞏固了以前所學(xué)的知識(shí),而且學(xué)到了書本上沒有的東西,在調(diào)試中每修改一個(gè)小的錯(cuò)誤,自己心中的成就感就徒增。在這次設(shè)計(jì),把理論與實(shí)踐信結(jié)合起來,在老師的
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025-2030年廚房設(shè)備智能化升級(jí)機(jī)器人行業(yè)跨境出海戰(zhàn)略研究報(bào)告
- 2025-2030年地域特色面食體驗(yàn)館行業(yè)深度調(diào)研及發(fā)展戰(zhàn)略咨詢報(bào)告
- 2025-2030年即食蛋白棒行業(yè)深度調(diào)研及發(fā)展戰(zhàn)略咨詢報(bào)告
- 2025-2030年地黃補(bǔ)腎滋陰液企業(yè)制定與實(shí)施新質(zhì)生產(chǎn)力戰(zhàn)略研究報(bào)告
- 2025-2030年地質(zhì)數(shù)據(jù)可視化平臺(tái)企業(yè)制定與實(shí)施新質(zhì)生產(chǎn)力戰(zhàn)略研究報(bào)告
- 2025-2030年手工雕刻實(shí)木藝術(shù)品行業(yè)深度調(diào)研及發(fā)展戰(zhàn)略咨詢報(bào)告
- 供水設(shè)施運(yùn)行監(jiān)測與遠(yuǎn)程控制系統(tǒng)考核試卷
- 2025-2030年古早味茶點(diǎn)屋企業(yè)制定與實(shí)施新質(zhì)生產(chǎn)力戰(zhàn)略研究報(bào)告
- 家電銷售話術(shù)與談判技巧考核試卷
- 家居用品行業(yè)技術(shù)創(chuàng)新與發(fā)展考核試卷
- 《保險(xiǎn)科技》課件-第二章 大數(shù)據(jù)及其在保險(xiǎn)領(lǐng)域中的應(yīng)用
- 父母贈(zèng)與田地協(xié)議書范本
- 中藥甘草課件
- 解讀國有企業(yè)管理人員處分條例(2024)課件(全文)
- 煙草企業(yè)安全生產(chǎn)標(biāo)準(zhǔn)化規(guī)范1-200題附有答案
- DL∕T 1870-2018 電力系統(tǒng)網(wǎng)源協(xié)調(diào)技術(shù)規(guī)范
- FZ∕T 54007-2019 錦綸6彈力絲行業(yè)標(biāo)準(zhǔn)
- 人教部編版四年級(jí)語文下冊(cè)課內(nèi)外閱讀訓(xùn)練2《鄉(xiāng)下人家》(有答案)
- 牛客:2024年智能制造校園招聘白皮書
- 高中英語語法同位語從句省公開課一等獎(jiǎng)全國示范課微課金獎(jiǎng)
- 住院病人燙傷的應(yīng)急演練
評(píng)論
0/150
提交評(píng)論