版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、vhdl語(yǔ)言課程設(shè)計(jì)報(bào)告vhdl語(yǔ)言課程設(shè)計(jì)題目:基于fpga的多功能信號(hào)發(fā)生器 系 別:電子通信工程系姓 名: 盧 春 菊 班 級(jí): 醫(yī) 電 051 學(xué) 號(hào): 050411122 指導(dǎo)老師: 石 新 峰 設(shè)計(jì)時(shí)間:2007年12月7日目 錄前言:1一、設(shè)計(jì)任務(wù):2二、題目分析與整體構(gòu)思:3三、硬件電路設(shè)計(jì):4四、程序設(shè)計(jì):5五、心得體會(huì):6附錄:7 參考文獻(xiàn):8前 言在vhdl語(yǔ)言課程設(shè)計(jì)中,經(jīng)過(guò)石老師的指導(dǎo)與講述,我組三名同學(xué)經(jīng)過(guò)討論最終決定設(shè)計(jì)一多功能信號(hào)發(fā)生器,實(shí)現(xiàn)4種常見(jiàn)波形正弦波、三角波、鋸齒波、方波的功能。并且輸出信號(hào)的頻率范圍為100hz200khz,輸出頻率可以調(diào)節(jié);可以存儲(chǔ)
2、任意波形特征數(shù)據(jù)并能重現(xiàn)該波形,還可完成各種波形的線形疊加輸出,具有顯示輸出波形、頻率的功能。通過(guò)運(yùn)用vhdl語(yǔ)言編程,通過(guò)運(yùn)用軟件quartus ii 6.0,逐漸掌握eda的用法,熟練步驟,對(duì)以后的學(xué)習(xí)與工作做了很好的鋪墊;剖析整個(gè)系統(tǒng)運(yùn)行的步驟與工作原理,從而完成對(duì)整個(gè)設(shè)計(jì)的理論分析任務(wù),以次來(lái)指導(dǎo)其它設(shè)計(jì)過(guò)程;硬件電路設(shè)計(jì)主要是設(shè)計(jì)相關(guān)模塊的設(shè)計(jì)思想的可視化,是相關(guān)模塊的電路圖的匯總和其相關(guān)仿真波形的集錦,該部分條理清晰,思路明確,從中我們可以清晰地看到該設(shè)計(jì)方案的具體模塊和整個(gè)設(shè)計(jì)的原理結(jié)構(gòu)實(shí)圖;程序設(shè)計(jì)這一部分主要闡述該設(shè)計(jì)的設(shè)計(jì)方法與設(shè)計(jì)思想,進(jìn)一步從軟件設(shè)計(jì)上揭示設(shè)計(jì)構(gòu)思,主要
3、包含了整個(gè)設(shè)計(jì)所用到的模塊的硬件描述語(yǔ)言的設(shè)計(jì),通過(guò)這一部分的學(xué)習(xí),對(duì)vhdl語(yǔ)言的設(shè)計(jì)方法有了進(jìn)一步的學(xué)習(xí),對(duì)其相關(guān)語(yǔ)言設(shè)計(jì)規(guī)范有了更深層次的掌握,能夠更加熟練的做一些編程設(shè)計(jì)。最后通過(guò)設(shè)計(jì)了解到基于pld的eda技術(shù)的發(fā)展和應(yīng)用領(lǐng)域不斷的擴(kuò)大與深入eda技術(shù)在電子信息、通信、自動(dòng)控制及計(jì)算機(jī)應(yīng)用等領(lǐng)域的重要性日益突出。隨著技術(shù)市場(chǎng)與人才市場(chǎng)對(duì)eda技術(shù)的需求不斷提高,產(chǎn)品的市場(chǎng)效率和技術(shù)要求也將會(huì)影響到教學(xué)與科研領(lǐng)域,因此這一次課程設(shè)計(jì)的開展很好的把握住了教學(xué)的改革方向,更好的鍛煉了學(xué)生理論聯(lián)系實(shí)踐的能力。 此次課程設(shè)計(jì)對(duì)我在eda技術(shù)的學(xué)習(xí)中有著很重要的影響,通過(guò)實(shí)驗(yàn)我們非常充分的運(yùn)用了
4、實(shí)驗(yàn)室的器材,發(fā)揮團(tuán)隊(duì)精神,不畏困難,根據(jù)自己所學(xué)的eda知識(shí),認(rèn)真的分析了老師要求的設(shè)計(jì)任務(wù),明確了設(shè)計(jì)思路,我們齊心努力下成功的完成了多功能信號(hào)發(fā)生器的設(shè)計(jì),對(duì)eda技術(shù)的運(yùn)用有了深一層的認(rèn)識(shí),對(duì)vhdl程序語(yǔ)言設(shè)計(jì)有了更深的理解,并熟練掌握了杭州康芯硬件結(jié)構(gòu)動(dòng)態(tài)可配置型eda+sopc試驗(yàn)箱、北京達(dá)盛公司全開方式eda+sopc試驗(yàn)箱、北京達(dá)盛公司max7128試驗(yàn)箱的用法。 一、設(shè)計(jì)任務(wù) 基于vhdl語(yǔ)言,通過(guò)給定的儀器(杭州康芯硬件結(jié)構(gòu)動(dòng)態(tài)可配置型eda+sopc試驗(yàn)箱、北京達(dá)盛公司全開方式eda+sopc試驗(yàn)箱、北京達(dá)盛公司max7128試驗(yàn)箱)設(shè)計(jì)一個(gè)多功能信號(hào)發(fā)生器,要求:(1
5、)能產(chǎn)生周期性正弦波、方波、三角波、鋸齒波以及用戶自己編輯的特定波形; (2)輸出信號(hào)的頻率范圍為100hz200khz,且輸出頻率可以調(diào)節(jié);(3)具有顯示輸出波形、頻率的功能。二、題目分析與整體構(gòu)思多功能信號(hào)發(fā)生器:多功能體現(xiàn)在它能自動(dòng)的實(shí)現(xiàn)四種波形的轉(zhuǎn)換,還有波形的控制頻率,以及用按鍵的方式產(chǎn)生任意波形.掌握使用eda工具設(shè)計(jì)多功能信號(hào)系統(tǒng)的設(shè)計(jì)思路和設(shè)計(jì)方法。體會(huì)使用eda綜合過(guò)程中電路設(shè)計(jì)方法和設(shè)計(jì)思路的不同。首先,根據(jù)所學(xué)的知識(shí)對(duì)正弦信號(hào)發(fā)生器比較熟悉,就以正弦信號(hào)為基礎(chǔ)展開思索.它由三個(gè)lmp模塊組成,即鎖相環(huán)、計(jì)數(shù)器、lmp rom。其次,對(duì)三個(gè)模塊分析并展開。鎖相環(huán)一般用于高頻
6、信號(hào),由于要求的頻率范圍為100hz200hz,所以去掉鎖相環(huán)不考慮。但是這個(gè)頻率由誰(shuí)提供?經(jīng)觀察和了解實(shí)驗(yàn)箱的說(shuō)明書可以通過(guò)時(shí)鐘信號(hào)得到,信號(hào)來(lái)源這一問(wèn)題解決了,為了確定頻率需要計(jì)數(shù)器和分頻器。分頻器是為了得到所需要的頻率范圍,計(jì)數(shù)器是為了測(cè)頻率周期做準(zhǔn)備。通過(guò)查找資料把分頻器和計(jì)數(shù)器的程序輸入到quartus 中進(jìn)行運(yùn)行仿真,每一步都要慎重小心,錯(cuò)誤難免的,還需要通過(guò)課本和資料一一更正。最后,在前兩個(gè)模塊做好的基礎(chǔ)上再考慮如何出波形的問(wèn)題,通過(guò)對(duì)四種波形采樣就可以得到,經(jīng)過(guò)查找資料與思考終于得到了方法,就是通過(guò)建rom,在里面輸入所采點(diǎn)的數(shù)據(jù),然后經(jīng)運(yùn)行與仿真正確就可以運(yùn)用。當(dāng)然在做這些模
7、塊的過(guò)程中需要考慮到數(shù)據(jù)的選擇、位寬的大小、管腳的取舍等問(wèn)題。一切準(zhǔn)備就緒,實(shí)驗(yàn)開始。 三、硬件電路設(shè)計(jì)(一)根據(jù)題目分析與整體構(gòu)思可知,要完成設(shè)計(jì)任務(wù)必須設(shè)計(jì)出以下模塊: 1)用于存儲(chǔ)波形的四個(gè)rom:正弦波信號(hào)存儲(chǔ)器data_roms、方波信號(hào)存儲(chǔ)器 data_rom、三角波信號(hào)存儲(chǔ)器 data_romt、鋸齒波信號(hào)存儲(chǔ)器 data_romc;2)用于波形切換的四路選擇器mux_4;3)用于頻率選擇的數(shù)控分頻器;4)用于激勵(lì)存儲(chǔ)器工作的地址發(fā)生器cnt6;(二)通過(guò)quartus軟件設(shè)計(jì)出各個(gè)模塊的原理圖及其相關(guān)仿真波形示意圖如下:1)如圖所示,分頻器的原理圖及其仿真波形圖2)如圖所示,正
8、弦波發(fā)生器的原理圖及其仿真波形圖3)如圖所示,方波信號(hào)發(fā)生器的原理圖及其仿真波形4)如圖所示,鋸齒波信號(hào)發(fā)生器的原理圖及其仿真波形5)如圖所示,三角波信號(hào)發(fā)生器原理圖及其仿真波形6)如圖所示,多路選擇器的原理圖7)如圖所示,地址發(fā)生器的原理圖8)如圖所示,經(jīng)過(guò)對(duì)設(shè)計(jì)任務(wù)的仔細(xì)學(xué)習(xí)與思考,把以上模塊根據(jù)其功能及設(shè)計(jì)思路設(shè)計(jì)出總的系統(tǒng)原理圖如下:對(duì)于整個(gè)原理圖的編譯結(jié)果:四、程序設(shè)計(jì)(1) 地址發(fā)生器的程序設(shè)計(jì):library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt6b is port
9、(fin : in std_logic; clr : in std_logic; enabl : in std_logic; dout : out std_logic_vector(5 downto 0); end cnt6b;architecture behav of cnt6b is signal cqi : std_logic_vector(5 downto 0);begin process(fin, clr, enabl) begin if clr = 1 then cqi 0);elsif finevent and fin = 1 then if enabl = 1 then cqi
10、 = cqi + 1;end if;end if;end process;dout = cqi;end behav;(2)分頻器的程序設(shè)計(jì)如下: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpinqi isport ( clk : in std_logic; clk_scan : out std_logic); end fenpinqi;architecture rtl of fenpinqi issignal cnt:integer range 0 to 40000;be
11、gin process(clk)beginif clkevent and clk=1 then if cnt=cnthigh then cnt=0; else cnt= cnthigh/2 thenclk_scan=1;else clk_scan=0;end if;end if;end process;end rtl;(3)正弦信號(hào)發(fā)生器的程序設(shè)計(jì)如下:library ieee;use ieee.std_logic_1164.all;library altera_mf;use altera_mf.all;entity data_roms isport( address: in std_logi
12、c_vector (5 downto 0);inclock: in std_logic ;q: out std_logic_vector (7 downto 0);end data_roms;architecture syn of data_roms issignal sub_wire0: std_logic_vector (7 downto 0);component altsyncramgeneric (address_aclr_a: string;init_file: string;intended_device_family: string;lpm_hint: string;lpm_ty
13、pe: string;numwords_a: natural;operation_mode: string;outdata_aclr_a: string;outdata_reg_a: string;widthad_a: natural;width_a: natural;width_byteena_a: natural);port ( clock0: in std_logic ;address_a: in std_logic_vector (5 downto 0);q_a: out std_logic_vector (7 downto 0);end component;beginq none,i
14、nit_file = roms.mif,intended_device_family = cyclone,lpm_hint = enable_runtime_mod=yes, instance_name=roms,lpm_type = altsyncram,numwords_a = 64,operation_mode = rom,outdata_aclr_a = none,outdata_reg_a = unregistered,widthad_a = 6,width_a = 8,width_byteena_a = 1)port map (clock0 = inclock,address_a
15、= address,q_a = sub_wire0);end syn;根據(jù)正弦波信號(hào)發(fā)生器的程序設(shè)計(jì),可以分別設(shè)計(jì)出方波信號(hào)發(fā)生器、三角波信號(hào)發(fā)生器、鋸齒波信號(hào)發(fā)生器,程序設(shè)計(jì)相對(duì)簡(jiǎn)單,可以套用:library ieee;use ieee.std_logic_1164.all;entity mux is port( a,b,c,d: in std_logic_vector(7 downto 0); s: in std_logic_vector(1 downto 0); x: out std_logic_vector(7 downto 0);end mux;architecture archmu
16、x of mux isbeginmux4_1: process(a,b,c,d) begin if s= 00then x=a; elsif s= 01then x=b; elsif s= 10then x=c; else x=d; end if; end process mux4_1;end archmux;五、心得體會(huì)我們的設(shè)計(jì)較好地完成了既定目標(biāo),能夠產(chǎn)生正弦波、方波、三角波、鋸齒波和任意波形,并能較精確的調(diào)設(shè)波形發(fā)生的頻率,范圍在100hz200khz,且輸出頻率可以調(diào)節(jié),人機(jī)界面較完善友好,使系統(tǒng)容易使用。很成功的完成系統(tǒng)的功能實(shí)現(xiàn)。我在設(shè)計(jì)中主要是負(fù)責(zé)整個(gè)系統(tǒng)架構(gòu)的構(gòu)建,提供較完善
17、的人機(jī)交互功能(鍵盤輸入及 lcd 顯示)。也充分認(rèn)識(shí)到了團(tuán)結(jié)的力量!在實(shí)驗(yàn)中也有失敗,如當(dāng)經(jīng)過(guò)幾天的努力把分頻器、計(jì)數(shù)器、四個(gè)rom 做好后進(jìn)行了整體的運(yùn)作后,波形怎么也不出現(xiàn)。后來(lái)才知道原來(lái)我們?cè)O(shè)計(jì)的電路只有在特定的試驗(yàn)箱才能實(shí)驗(yàn)成功,多虧老師的指導(dǎo)讓我們少走很多彎路。也從中發(fā)現(xiàn)自己還有很多知識(shí)不懂,最終把波形弄出來(lái)了!在這次設(shè)計(jì)中,我更進(jìn)一步地了解了開發(fā)項(xiàng)目該如何組織進(jìn)行,對(duì)正弦波信號(hào)發(fā)生器、方波信號(hào)發(fā)生器、三角波信號(hào)發(fā)生器、鋸齒波信號(hào)發(fā)生器的實(shí)現(xiàn)有了更好的理解。同時(shí)也提高了查閱文檔解決問(wèn)題的能力,對(duì)eda技術(shù)的運(yùn)用有了深一層的認(rèn)識(shí),對(duì)vhdl程序語(yǔ)言設(shè)計(jì)有了更深的理解,并熟練掌握了杭州康芯硬件結(jié)構(gòu)動(dòng)態(tài)可配置型eda+sopc試驗(yàn)箱、北京達(dá)盛公司全開方式eda+sopc試驗(yàn)箱、北京達(dá)盛公max7128試驗(yàn)箱的用法。 通過(guò)本實(shí)驗(yàn)設(shè)計(jì)更增加了我對(duì)電子技術(shù)的熱愛(ài),對(duì)專業(yè)知識(shí)有了更
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025年分期付款裝飾材料購(gòu)買協(xié)議
- 2025年親情傳承與撫養(yǎng)遺贈(zèng)協(xié)議
- 2025年定值商標(biāo)保護(hù)保險(xiǎn)合同
- 二零二五版機(jī)床設(shè)備采購(gòu)與生產(chǎn)自動(dòng)化升級(jí)合同3篇
- 2025版小區(qū)房屋裝修節(jié)能環(huán)保技術(shù)實(shí)施合同2篇
- 2025年度紙箱包裝設(shè)計(jì)大賽贊助承包協(xié)議4篇
- 二手鋼結(jié)構(gòu)買賣協(xié)議(2024年版)3篇
- 2025版建設(shè)工程委托擔(dān)保合同范本3篇
- 2025年度旅游行業(yè)試崗導(dǎo)游合同范本
- 2025年度機(jī)動(dòng)車碰撞責(zé)任免除合同
- 2025年度杭州市固廢處理與資源化利用合同3篇
- 2024年安徽省公務(wù)員錄用考試《行測(cè)》真題及答案解析
- 部編版二年級(jí)下冊(cè)《道德與法治》教案及反思(更新)
- 充電樁項(xiàng)目運(yùn)營(yíng)方案
- 退休人員出國(guó)探親申請(qǐng)書
- 高中物理競(jìng)賽真題分類匯編 4 光學(xué) (學(xué)生版+解析版50題)
- 西方經(jīng)濟(jì)學(xué)-高鴻業(yè)-筆記
- 幼兒園美術(shù)教育研究策略國(guó)內(nèi)外
- 2024屆河南省五市高三第一次聯(lián)考英語(yǔ)試題及答案
- 孕婦學(xué)校品管圈課件
- 《愿望的實(shí)現(xiàn)》交流ppt課件2
評(píng)論
0/150
提交評(píng)論