基于FPGA直流電機伺服系統(tǒng)設計_第1頁
基于FPGA直流電機伺服系統(tǒng)設計_第2頁
基于FPGA直流電機伺服系統(tǒng)設計_第3頁
基于FPGA直流電機伺服系統(tǒng)設計_第4頁
基于FPGA直流電機伺服系統(tǒng)設計_第5頁
已閱讀5頁,還剩30頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、摘摘 要要直流電機制造技術比較成熟,具有響應迅速、精度和效率高、控制性能優(yōu)良、成本低、負載能力大等特點。隨著電力電子技術和 EDA 技術的發(fā)展,用基于現(xiàn)場可編程門陣列 FPGA 的數(shù)字電子系統(tǒng)對電動機進行控制,為實現(xiàn)電動機的數(shù)字化控制提供了一種新型的有效方法。在本系統(tǒng)中,中央控制器件采用 FPGA,它負責信號處理,可靠性高,速度快;數(shù)據(jù)采集系統(tǒng)核心部件采用增量式編碼器;大功率 MOSFET 管組成的 H橋單極可逆電路組成驅動電路。系統(tǒng)采用前饋-反饋復合算法。使用 VHDL 語言編程,程序以 ISP 形式直接配置到 FPGA 中。系統(tǒng)利用 MAX+plus軟件進行建模、仿真,并以此進行控制策略的

2、制定和控制系統(tǒng)的設計,確定各項參數(shù)。關鍵詞:關鍵詞:伺服系統(tǒng)伺服系統(tǒng) VHDLVHDL FPGAFPGA 前饋控制前饋控制 PIPI 算法算法 ABSTRACTDirect current motor technique of manufacture is quite mature, it has the characters of rapid response, high precision, great efficiency, excellent controlling performance, low cost and heavy load ability. With the devel

3、opment of electric power electronic technology and EDA technology, digital control system based on field programmable gate array FPGA presents a novel and effective strategy for realizing full-digital control of motor. In this system, the central control device use FPGA, it is responsible for the si

4、gnal processing, the reliability is high, the speed is quick; incremental encoder is used as nucleus device of data acquisition system; unipolar limited H bridges consisted of power MOS transistor composition driving circuit; Arithmetic employs feed-forward control and feedback control in the system

5、. We use VHDL language to program and program is configured into FPGA with ISP mode.We create the model of system and make simulation with MAX+plussoftware, and then, establishment the subsequent control strategy and design the control system, definite each parameter.Key Words: Servo system FPGA VHD

6、L Feed-forward control PI control目錄目錄第 1 章 緒論.- 1 -1.1 課題研究的目的和意義.- 1 -1.2 FPGA 技術和電機控制發(fā)展現(xiàn)狀.- 1 -1.2.1 FPGA 技術發(fā)展形勢.- 1 -1.2.2 電機控制發(fā)展狀況.- 2 -1.2.3 功率半導體器件的發(fā)展.- 2 -1.2.4 電機控制器的發(fā)展.- 3 -1.3 課題解決的主要問題.- 3 -第 2 章 系統(tǒng)控制原理和算法設計.- 4 -2.1 電機調速控制原理.- 4 -2.2 PWM 控制原理.- 4 -2.3 系統(tǒng)控制原理和電機模型.- 5 -2.4 前饋算法設計.- 6 -2.5

7、 反饋算法設計.- 6 -第 3 章 系統(tǒng)軟件設計.- 8 -3.1 VHDL 介紹 .- 8 -3.2 MAX+PLUS介紹.- 9 -3.3 軟件框圖組成.- 10 -3.4 主要控制模塊.- 11 -3.4.1 編碼器控制模塊.- 11 -3.4.2 前饋控制模塊.- 12 -3.4.3 反饋控制模塊.- 12 -3.4.4 數(shù)控分頻器模塊.- 13 -3.4.5 PWM 波生成模塊.- 14 -第 4 章 系統(tǒng)硬件設計.- 16 -4.1 FPGA 技術.- 16 -4.1.1 技術簡介.- 16 -4.1.2 FLEX10K 器件.- 16 -4.2 硬件電路設計.- 17 -4.2

8、.1 結構框圖.- 17 -4.2.2 數(shù)據(jù)采集電路.- 18 -4.2.3 信號調理電路.- 20 -4.2.4 隔離電路.- 20 -4.2.5 驅動電路.- 21 -4.2.6 FPGA 控制電路.- 22 -第 5 章 結論.- 24 -致謝.- 25 -參考文獻.- 26 -附錄.- 27 -附 1 數(shù)字分頻器程序.- 27 -附 2 PI 控制程序.- 28 -附 3 前饋控制程序.- 29 -附 4 PWM 波生成程序.- 29 -第第 1 章章 緒論緒論1.1 課題研究的目的和意義課題研究的目的和意義直流電機由于具有良好的啟、制動性能,并且很容易在較大范圍內實現(xiàn)平滑調速,因而在

9、很多領域都得到了廣泛應用。一個電機系統(tǒng)一般由執(zhí)行電機,控制器,驅動電路和檢測裝置 4 部分組成。在控制系統(tǒng)中,在實時性允許的條件下,用軟件資源代替硬件電路功能,可以方便的修改控制策略,修正控制參數(shù),這對于降低系統(tǒng)成本,簡化系統(tǒng)的硬件結構,提高系統(tǒng)的性能價格比都具有積極的意義。近年來,專用集成電路(ASIC)發(fā)展非常迅猛。復雜可編程邏輯器件CPLD 最早由 Altera 公司推出(即 MAX 系列) ,多為 Flash、E2PROM 架構或乘積項(Product Term)架構的 PLD,F(xiàn)PGA 最早由 Xilinx 公司推出,多為 SRAM架構或查表(Look Up Table)架構,需外接

10、配置用的 EPROM 下載,由于Altera 的 FLEX/ACEX/APEX 系列也是 SRAM 架構,所以通常把 Altera 的FLEX/ACEX/APEX 系列芯片也叫做 FPGA??删幊踢壿嬈骷?FPGA 具有速度快、集成度高、設計靈活的優(yōu)點?;贔PGA 設計的直流電機伺服系統(tǒng),既可以作為一個獨立的模塊與嵌入式系統(tǒng)連接,也可以根據(jù)需要,隨時更改控制策略和方法,無需變更硬件,即可實現(xiàn)電路的重構,使設計大大減少了外圍器件,降低了成本,提高了系統(tǒng)的靈活性,滿足了系統(tǒng)的功能需求。本文采取的技術路線是在 EDA 技術平臺上,利用硬件描述語言 VHDL 進行數(shù)字邏輯設計,進行系統(tǒng)的邏輯功能和時

11、延仿真,并用 FPGA 實現(xiàn)其所要求的邏輯功能定義。以 VHDL 和 FPGA 為特征的數(shù)字系統(tǒng)現(xiàn)場集成技術是將來 ASIC 設計的主要發(fā)展趨勢之一。通過本課題研究,學習和掌握數(shù)字邏輯的 VHDL 設計方法和系統(tǒng)功能的 FPGA 實現(xiàn)方法,推動了數(shù)字系統(tǒng)現(xiàn)場集成技術的應用。1.2 FPGA 技術和電機控制發(fā)展現(xiàn)狀技術和電機控制發(fā)展現(xiàn)狀1.2.1 FPGA 技術發(fā)展形勢技術發(fā)展形勢進入 20 世紀 90 年代,F(xiàn)PGA 的發(fā)展極為迅速,不僅具有電擦除特性,而且出現(xiàn)了邊緣掃描及在線編程等高級特性。另外,外圍 I/O 規(guī)模擴大了在系統(tǒng)中的應用范圍和擴展性。1999 年產品集成度達到 40 萬門,20

12、00 年就出現(xiàn)了容量為 200 萬門的產品。ASIC 和FPGA 都是集成電路(IC)。專用集成電路(ASIC)如其名稱所示,是專門滿足某種電子產品或系列產品的特定應用需求的硬接線硅芯片,用于各種消費電子產品和工業(yè)產品中?,F(xiàn)場可編程門陣列(FPGA)是新興的IC 技術,包括成千上萬個邏輯單元,通過可編程開關連接起來,通過單元的邏輯互聯(lián)來滿足不同的設計要求。除了邏輯塊之外,F(xiàn)PGA 的其他可編程元件為I/O塊(作為內部單線路和芯片外部引腳的接口)以及互聯(lián)接口(將其他元件的I/O 信號路由至適當?shù)木W(wǎng)絡)??芍貜途幊痰墓δ苁谴祟惼骷淖畲髢?yōu)勢。在國內,F(xiàn)PGA 技術起步比較晚,但是發(fā)展速度卻比較快,

13、由于 IT 產業(yè)的迅猛發(fā)展使得電子、通訊和器件等各方面的技術都接近了國際水平。但是對于FPGA 技術的最根本的方面,國內還只局限于應用,而發(fā)展的是相關技術的方面?;?FPGA 技術應用的隨意性和個性化,我國目前的發(fā)展方向就應該針對應用方面。目前,F(xiàn)PGA 器件正朝著更高速、更高集成度、更強功能和更靈活的方向發(fā)展,它不僅已成為標準器件的一個強有力的競爭對手,也成為掩膜式專用集成電路的競爭者,同時正在不斷取代 ASIC(專用集成電路) 。1.2.2 電機控制發(fā)展狀況電機控制發(fā)展狀況電機作為機電能量轉換裝置,在這一個多世紀以來,已經應用在國民經濟的各個領域中。進入現(xiàn)代社會,隨著電力電子技術、計算機

14、技術、控制技術的飛速發(fā)展,電機的應用也得到了進一步的發(fā)展。傳統(tǒng)的“電機控制”、 “電氣傳動”、已經為“運動控制”這種新型的控制技術所代替。電機應用已由過去簡單的起??刂?、提供動力為目的的應用,上升到對速度、位置、轉矩等進行精確的控制。現(xiàn)代電機控制技術離不開功率器件和電機控制器的發(fā)展。1.2.3 功率半導體器件的發(fā)展功率半導體器件的發(fā)展自上世紀 50 年代硅晶閘管問世以來,功率半導體器件的研究取得了飛速發(fā)展。60 年代后期,可關斷晶閘管 GTO 實現(xiàn)了門級可關斷功能,并使斬波工作頻率擴展到 1KHZ。70 年代中期,高功率晶體管和功率 MOSFET 問世,功率器件實現(xiàn)了全控功能,使得高頻應用成為

15、可能。80 年代,絕緣柵雙極性晶體管(IGBT)問世,它綜合了 MOSFET 和雙極性功率晶體管兩者的功能。數(shù)字控制技術對于功率器件控制有如下優(yōu)點:1.可嚴格控制最小開通時間,最小關斷時間。2.可嚴格控制死區(qū)時間。1.2.4 電機控制器的發(fā)展電機控制器的發(fā)展電機的控制器經歷了從傳統(tǒng)控制器到數(shù)字控制器的發(fā)展。由于模擬器件的參數(shù)受外界影響較大,而且精度也比較差。相比較而言,數(shù)字控制器的可靠性更高,而且還具有參數(shù)調整方便、控制精度高、對環(huán)境因素不敏感、更改控制策略靈活等優(yōu)點。隨著工業(yè)電氣化自動控制和家電產品領域對電機控制產品的需求增加,對電機控制技術的要求也不斷提高。傳統(tǒng)的 8 位單片機由于其內部體

16、系結構和計算功能等條件限制,在實現(xiàn)各種先進的電機控制理論和高效算法時遇到了困難。使用高性能的數(shù)字信號處理器(DSP)來解決電機控制器不斷增加的計算量和速度需求是目前最為普遍的做法。將一系列外圍設備如模數(shù)轉換器、數(shù)字信號處理器和脈寬調制發(fā)生器集成在一起組成復雜的電機控制系統(tǒng)。隨著 EDA 技術的發(fā)展,用基于現(xiàn)場可編程門陣列 FPGA 的數(shù)字電子系統(tǒng)對電機進行控制,為實現(xiàn)電動機數(shù)字控制提供了一種新型的有效方法。1.3 課題解決的主要問題課題解決的主要問題在傳統(tǒng)電機控制領域中,模擬控制器的控制精度比較差,而且受環(huán)境和外部條件影響很大,在控制策略的制定和更改方面不夠靈活??刂齐姍C自身和被控對象本身也具

17、有非線性嚴重、耦合性強等特點,所以系統(tǒng)難以建立精確的數(shù)學模型。應用FPGA作為中央控制單元,既解決了傳統(tǒng)控制方式的諸多問題,又能靈活的對電機伺服系統(tǒng)進行控制與維護。應用DSP作為中央控制器會產生復位、死機等系統(tǒng)問題,而基于FPGA的直流電機伺服系統(tǒng)擁有非常強大的抗干擾性,在效率和靈活性方面都大大得到了提高。由于在設計初期階段就考慮了電磁兼容性問題,通過采用光耦隔離、無感元件等有效措施成功的提高了儀器和系統(tǒng)的穩(wěn)定性。第第 2 章章 系統(tǒng)控制原理和算法設計系統(tǒng)控制原理和算法設計2.1 電機調速控制原理電機調速控制原理他勵直流電機相比較異步電動機,雖然結構復雜,價格高,但是在調速性能方面有其獨特的優(yōu)

18、點:第一,調速均勻平滑,可以實現(xiàn)無級調速;第二是調速范圍大,調速比可以達到 200 以上。它所具有的機械特性為: (2-1)MCeCmRCeUn2由此可見,機械轉速的改變可以通過改變電動機的參數(shù)來實現(xiàn),比如對電動機的外加電壓,電樞回路中的外串電阻和磁通進行調整就可以調整電動機的轉速。通過改變電機電樞外加電壓的方法來調節(jié)轉速是當前應用最廣泛的一種方法。由于機械特性硬度不變,調速范圍大,便于實現(xiàn)無級調速,而且調速的平滑性較好。另外,調速不需要在電樞回路中串接電阻,調速損耗小,電動機的運行效率高。相比較電樞回路串電阻調速和調磁調速,調壓調速方法優(yōu)勢明顯。2.2 PWM 控制原理控制原理采用全控型的開

19、關功率元件進行脈寬調制 PWM 控制方式在當前成為一種主流控制方式,它很容易在微控制器中實現(xiàn),從而為直流電動機控制的數(shù)字化提供了很好的基礎。在對直流電動機電樞電壓控制和驅動中,對半導體功率器件的使用上可分為兩種方式:線性放大驅動方式和開關驅動方式。本系統(tǒng)采用后者,它是使半導體功率器件工作在開關狀態(tài),通過脈寬調制 PWM 來控制電樞電壓,實現(xiàn)調速??刂圃韴D和輸入輸出電壓波形如下圖所示: 圖 2-1 PWM 控制原理圖 圖 2-2 PWM 控制輸入輸出電壓圖如圖 2-1 所示,當開關管 MOSFET 的柵極輸入電壓為高電平時,開關管導通,直流電動機電樞繞組兩端有電壓 Us。t1 秒后,柵極輸入電

20、壓變?yōu)榈碗娖?,開關管截止,電動機電樞兩端電壓為 0。t2 秒后,柵極輸入電壓重新變?yōu)楦唠娖?,開關管重復前面的過程。這樣,對應著輸入電壓的高低,直流電動機電樞繞組兩端的電壓波形如圖 2-2 所示。故,電動機的電樞繞組兩端的平均電壓 Uo為: (2-UsUsTtttUstUo121102)式中為占空比。由此可見,當電源電壓不變的時候,電樞的端電壓的平均值 Uo 取決于占空比的大小,改變的值就可以改變端電壓的平均值,從而達到調速的目的,這就是 PWM 調速原理。PWM 調速的調整有三種方法:定寬調頻法、調寬調頻法和定頻調寬法。其中,前兩種方法需要改變脈沖頻率,可能引起系統(tǒng)振蕩。目前在直流電機的控制中

21、,主要應用定頻調寬法,即保持頻率不變,而同時改變和。1t2t2.3 系統(tǒng)控制原理和電機模型系統(tǒng)控制原理和電機模型圖 2-3 系統(tǒng)控制原理圖如圖 2-3 所示,測控系統(tǒng)由位置反饋、速度反饋和電流反饋的三閉環(huán)結構組成。電流環(huán)的作用是限流,防止過流損傷電機;速度環(huán)的作用是抑制速度波動,增強系統(tǒng)抗負載擾動的能力,提高穩(wěn)定性;位置環(huán)的作用是實現(xiàn)位置跟蹤,它是系統(tǒng)的主控制環(huán)。三環(huán)結合工作,保證了系統(tǒng)具有良好的靜態(tài)精度和動態(tài)特性,工作平穩(wěn)可靠。本系統(tǒng)中的直流電機參數(shù)如下:額定電壓為 56V,額定電流12A,空載轉速為 4100rad/m,減速比為 1/160,功率為 500W。如果忽略電樞電感以及粘性阻尼系

22、數(shù),則以電樞電壓為輸入變量,電動機轉速 (t)為輸出變量的直流伺)(tua服電動機的傳遞函數(shù)可以簡化為: (2-1/1)(sTKesHm3)式中,電動機的反電動勢系數(shù),機電時間常數(shù)36041005660160Ke=10ms。反饋比例系數(shù)=15v/131.4,這個反饋系數(shù)相當于實際控制系統(tǒng)中mTFK的角度傳感器,以上推出的傳遞函數(shù)為電壓與角度的關系,所以應該在此傳遞函數(shù)基礎上再加上一個積分環(huán)節(jié),從而實現(xiàn)電樞電壓與角度的傳遞關系。2.4 前饋算法設計前饋算法設計電動機轉速 (t)為輸出變量的直流伺服電動機的傳遞函數(shù)為: (2-1/1)(sTKesHm4)引入的前饋控制能有效提高系統(tǒng)對輸入信號的相應

23、速度,部分消除被控對象的積分滯后影響,從而使系統(tǒng)迅速消除偏差,并可以提高系統(tǒng)帶寬。根據(jù)不變性原理,可以得到: (2-dtdrKKtdrdKKsuceceq1)(225)將其離散化得到差分方程: (2-)()()(21krKkRKtuq6)式中: (2-) 1()()(krkrkr7) (2-) 1()()(2krkrkr8)其中: ; ;)/(11TKKKce)/(22TKKKce2.5 反饋算法設計反饋算法設計本系統(tǒng)中的反饋控制采用的是 PI 算法,用 PI 控制來提高系統(tǒng)的穩(wěn)態(tài)控制精度。通過對各項參數(shù)進行合理調整,使系統(tǒng)達到響應速度快、控制精度高的最優(yōu)化。PI 的控制規(guī)律為: (2-)(1

24、)()(01ttpdterroeTterrorKtu9)其中: 是比例系數(shù),是積分時間常數(shù)。pK1TPI 控制器中各環(huán)節(jié)的作用有以下兩點:比例環(huán)節(jié):成比例的反映控制系統(tǒng)的偏差信號 error(t) ,一旦偏差產生,控制器立即產生控制,以減少偏差。積分環(huán)節(jié):主要用來提高系統(tǒng)的誤差度,消除靜差。采用微處理器,需引入數(shù)字 PI 控制,即以一系列采樣時刻點 kT 代表連續(xù)時間 t,以矩形法數(shù)值積分近似代替積分,可得離散 PID 表達式: (2-) )()()(01kjpfjerrorTTkerrorkku10) (2-Tjerrorkkerrorkkjip0)()(11)式中 T 為采樣周期,k 為采

25、樣序號。為了避免出現(xiàn)積分飽和現(xiàn)象,我們采用積分分離 PI 算法。即當誤差大于0.5V 時,不對誤差進行積分運算:而當誤差小于 0.5V 時,進行積分運算,用以消除誤差。第第 3 章章 系統(tǒng)軟件設計系統(tǒng)軟件設計3.1 VHDL 介紹介紹VHDLVery High Speed Integrated Circuit(VHSIC) Hardware Description Language,是在 7080 年代,由美國國防部資助的 VHSIC 項目開發(fā)的產品。這種語言首次開發(fā)出來時,其目標僅是作為一個電路文本化的一種標準,為了使人們用文本方式描述設計能夠被其它人所理解。同時,也被用來作為模型語言,方便

26、于采用軟件來進行模擬。VHDL 于 1987 年由 IEEE1076 標準所確認。1988 年,Milstd454 規(guī)定所有為國防部設計的 ASIC 產品必須采用 VHDL 來描述。1993 年,IEEE1076 標準被升級、更新,新的 VHDL 標準為 IEEE1164。1996 年,IEEE1076.3 成為 VHDL 綜合標準。20 世紀 90 年代,引起數(shù)字系統(tǒng)設計方式發(fā)生突破性技術變革的技術正是 VHDL 技術。VHDL 作為 IEEE1076 標準所規(guī)范的硬件描述語言,隨著各種 EDA 工具和集成電路的普遍認同和推廣,目前正在全球范圍內先進工業(yè)國家的電子系統(tǒng)設計領域獲得廣泛應用。今

27、天,VHDL 已成為數(shù)字電路和系統(tǒng)的描述、建模、綜合的工業(yè)標準。在電子產業(yè)界,無論 ASIC 設計人員,還是系統(tǒng)級設計人員,都需要學習 VHDL 來提高他們的工作效率。由于 VHDL 所具有的通用性,它也成為可支持不同層次的設計者需求的標準語言。VHDL 允許設計在不同的 EDA 工具環(huán)境之間移植,其模塊可以封裝成獨立單元,重復使用。它支持階層結構的復雜設計和從門級到系統(tǒng)級的設計,而且可以用于邏輯電路的描述、綜合,并可以支持多層次的設計描述。VHDL 和 Verilog 兩種語言能夠滿足數(shù)字邏輯設計的這些需要。無論是從文本的組合利用,還是綜合,以及對器件和系統(tǒng)的模擬方面,VHDL 都是一個較好

28、的選擇。VHDL 非常適用于可編程邏輯器件的應用設計,并正在得以普及。在 500100000 門的大容量 CPLD 和 FPGA 的應用設計中,工程師若采用以往的布爾方程或門級的描述方式,難以快速和有效地完成設計。而 VHDL 卻能夠提供高級語言結構使工程師很方便地描述大型電路,促進產品的快速上市。它能夠提供支持設計單元庫的創(chuàng)建,以存儲在附屬子設計中重復使用的元件。因為 VHDL 是一種標準語言,在綜合和模擬工具之間,VHDL 代碼具有可移植能力,即設計可用不同的器件來實現(xiàn)。同樣,采用 VHDL 實現(xiàn)一個設計從可編程器件向 ASIC 的轉換也是便利的。使用 VHDL,你可以快速描述和綜合 50

29、00、10000 或更多門的電路,而同類型的設計,如果采用寄存器/傳輸門的圖形輸入或布爾方程來描述,往往需要 1 個人花幾個月的工作量。VHDL 主要用于描述數(shù)字系統(tǒng)的結構、行為、功能和接口。除了含有許多具有硬件特性的語句以外,VHDL 的語言形式和描述風格與句法十分類似于一般的計算機高級語言。VHDL 的程序結構特點是將一項工程設計分為外部和內部,即設計實體的內部功能和算法完成部分。在對一個設計實體定義了外部界面后,一旦其內部開發(fā)完成后,其他的設計就可以直接調用這個實體。這種設計實體分為內外部分的概念是 VHDL 系統(tǒng)設計的基本點。它的特點如下:VHDL 語言具有更強的行為描述能力,從而決定

30、了它稱為系統(tǒng)設計領域最佳的硬件描述語言。VHDL 既是一種硬件電路描述和設計語言,也是一種標準的網(wǎng)表格式,還是一種仿真語言,隨時可對設計進行仿真模擬。VHDL 的行為描述能力和程序結構決定了它具有大規(guī)模設計的分解和已有設計的再利用功能,符合市場所需求的,大規(guī)模高效、高速的完成必須由多人甚至多個開發(fā)組共同并行工作才能實現(xiàn)的特點。對于用 VHDL 完成的一個確定的設計,可以利用 EDA 工具進行邏輯綜合和優(yōu)化,并自覺地把 VHDL 描述設計轉變成門級網(wǎng)表。VHDL 對設計的描述具有相對獨立性,設計者可以不懂硬件的結構和最終實現(xiàn)的目標器件是什么,而進行獨立的設計。由于 VHDL 具有類屬描述語句和子

31、程序調用等功能,對于已完成的設計,在不改變源程序的條件下,只需要改變端口類屬參量或函數(shù),就能輕易地改變設計的規(guī)模和結構。3.2 MAX+plus介紹介紹MAX+plus(Multiple Array Matrix and Programmable Logic User System)是 ALTERA 公司推出的具有完全集成化、可視化的設計環(huán)境,具有工業(yè)標準EDA 工具接口,可運行多種操作系統(tǒng)。MAX+plus提供了一種與結構無關的設計環(huán)境,設計人員無須精通器件內部結構,只需運用自己熟悉的輸入工具進行設計,就可以通過 MAX+plus把這些設計轉換為最終結構需要的格式。MAX+plus提供豐富的

32、邏輯功能供設計人員調用,其中包括 74 系列全部器件的等效宏功能庫和多種特殊的宏功能(Macro Function)模塊以及參數(shù)化的宏功能(Mage function)模塊。MAX+plus還具有開放核的特點,允許設計人員添加自己的宏功能模塊。充分利用這些邏輯功能模塊,還可以大大減輕設計的工作量,成倍縮短開發(fā)周期。概括起來,MAX+plus開發(fā)系統(tǒng)具有如下幾個特點:機構無關。MAX+plus支持 ALTERA 公司數(shù)個系列可編程邏輯器件門數(shù)為 600250000 門,提供了業(yè)界真正與結構無關的可編程邏輯設計環(huán)境。MAX+plus的編譯器還提供了強大的邏輯綜合與優(yōu)化功能以減輕用戶的設計負擔。集成

33、化的界面。MAX+plus提供了設計輸入、設計處理和仿真校驗等全集成化的開發(fā)工具,可以加快動態(tài)調試,縮短開發(fā)周期。模塊組合式設計工具。設計者可從各種設計輸入、設計處理和設計校驗選項中進行選擇,從而使設計環(huán)境用戶化。需要時,還可以保留初始的工具,并增添新的性能。支持多種 HDL 語言。MAX+plus支持多種流行的 HDL 描述語言,包括 VHDL,Verilog HDL 和 AHDL。良好的開放性和數(shù)據(jù)互換性。MAX+plus由設計輸入、項目處理、項目校驗和器件編程四個部分。使用 MAX+plus的設計過程包括一下幾步,若任一步走錯或未達到設計要求則應該修改設計,然后重復各步如圖 3-2 所示

34、:圖 3-1 MAX+plus的設計流程3.3 軟件框圖組成軟件框圖組成系統(tǒng)軟件算法如圖 3-2 所示;圖 3-2 系統(tǒng)軟件流程圖3.4 主要控制模塊主要控制模塊在 FPGA 中,所采用的編程語言是硬件描述語言 VHDL。它采用從上而下的設計方法,利用其 EDA 平臺的通用性、具體硬件結構的無關性以及優(yōu)秀的可移植性等特點來實現(xiàn)整個系統(tǒng)的軟件功能。3.4.1 編碼器控制模塊編碼器控制模塊在 ALTERA 的開發(fā)工具 MAX+plus中,輸入電路原理圖,得到如下圖所示的仿真圖形,當 A 相超前 B 相時,AOUT 輸出 4 倍脈沖,DA【7.0】為編碼器正轉時 4 倍脈沖個數(shù);反之,BOUT 輸出

35、脈沖,DB【7.0】為反轉時 4 倍脈沖個數(shù)。利用 DA【7.0】和 DB【7.0】就能夠方便的實現(xiàn)編碼器的可逆計數(shù)。3-3 增量編碼器仿真圖3.4.2 前饋控制模塊前饋控制模塊圖 3-4 前饋控制仿真圖實現(xiàn)速度前饋和加速度前饋算法,改善系統(tǒng)跟蹤效果。3.4.3 反饋控制模塊反饋控制模塊圖 3-5 反饋控制仿真圖PI 控制模塊:采用積分分離 PI 算法,避免積分飽和現(xiàn)象,且參數(shù)根據(jù)實際控制結果可調。3.4.4 數(shù)控分頻器模塊數(shù)控分頻器模塊 FPGA 系統(tǒng)采用單時鐘作為全局時鐘,根據(jù)各模塊要求,分別產生所需頻率。開始晶振信號輸入設置計數(shù)初值對晶振脈沖計數(shù)設置計數(shù)初值是否達到計數(shù)滿度值控制信號翻轉

36、否是 圖 3-6 分頻流程圖圖 3-7 數(shù)控分頻器仿真圖3.4.5 PWM 波生成模塊波生成模塊開始時鐘上升沿到否取控制信號判斷誤差是否大于100000000000反轉(PWM1、PWM3 輸出)正轉(PWM2、PWM4 輸出)是否死區(qū)屏蔽輸出信號(PWM1、PWM2、PWM3、PWM4 輸出為1)是否過流屏蔽輸出信號(PWM1、PWM2、PWM3、PWM4 輸出為1)輸出否是否是否是否是圖 3-8 PWM 波生成流程圖圖 3-9 PWM 生成仿真圖根據(jù)控制量產生的控制信號分別控制電機的正轉和反轉。第第 4 章章 系統(tǒng)硬件設計系統(tǒng)硬件設計4.1 FPGA 技術技術FPGA 的電路結構主要是基于

37、 SRAM 工藝的查找表結構。4.1.1 技術簡介技術簡介FPGA(Field Programmable Gate Array,現(xiàn)場可編程門陣列)技術是近幾年來計算機與電子技術領域的又一次革命,廣泛應用于航天、通信、醫(yī)療、工業(yè)等各個領域,受到了電子工程設計人員的廣泛關注和普遍歡迎。計算機、電子通信領域的工程師掌握 FPGA 技術已勢在必行。FPGA 具有體系結構完整和邏輯單元靈活、集成度高以及適用范圍廣等特點。因此 FPGA 已經滲透到人們日常生活的各個方面。手機、電視、數(shù)碼相機、洗衣機、電冰箱、空調,甚至電飯鍋、手表領域里,都能看到它們的身影。工業(yè)自動化控制、通信、儀器儀表、汽車、船舶、航空

38、航天、軍事設備、消費類電子產品等領域更是它們的天下。具體講,F(xiàn)PGA 在硬件系統(tǒng)設計中具有以下優(yōu)點:可以縮小體積、減輕重量、降低功耗,且具有高集成度和高可靠性;易于獲得高性能,能將系統(tǒng)設計、電路設計和工藝設計三者緊密結合起來,這種一體化的設計有利于獲得前所未有的高性能系統(tǒng);軟件模擬仿真下載到 FPGA 并制成專用 IC 后,設計者可以很直觀地測試到其邏輯功能及性能指標。由于 FPGA 的這些優(yōu)點,用戶只要選擇合適的 FPGA 芯片,就能輕而易舉地設計自己的“計算機”和“數(shù)字系統(tǒng)”。4.1.2 FLEX10K 器件器件根據(jù)設計要求,綜合整個電路所需要的管腳和宏單元的個數(shù)估算,本系統(tǒng)采用的 FPG

39、A 是 EPF10K10LC84-4,它是屬于 ALTERA 公司的 FLEX10K 系列的一款 FPGA 器件。FLEX10K 是工業(yè)界第一個嵌入式的可編程邏輯器件,采用可重構的 CMOS ARAM 工業(yè),把連續(xù)的快速通道互聯(lián)與獨特嵌入式整列相結合,同時也結合了眾多可編程了器件的優(yōu)點來完成普通門陣列的宏功能。器件內部集成 10 萬門電路,實現(xiàn)復雜邏輯運算和數(shù)據(jù)運算。它的具體的配置方式有被動型和主動型兩種,其中被動型配置是在上電后由計算機把編譯后產生的后綴為SOF 文件由專門的下載電纜配置芯片,而主動型配置是在上電后由專門的可編程配置芯片進行配置,會在上電時自動給 EPF10K10LC84-4

40、 芯片進行配置。FLEX10K 系列器件主要由嵌入式陣列塊、邏輯陣列塊、快速通道(FastTrack)互聯(lián)和 I/O 單元四部分組成。嵌入式陣列由一系列嵌入式陣列塊(EAB)構成。當用來實現(xiàn)存儲功能時,每個 EAB 提供 2048 位用來構造 RAM、ROM、FIFO 或者雙向 RAM 等功能。當用來實現(xiàn)乘法器、微控制器、狀態(tài)機以及 DSP 等復雜邏輯時,每個 EAB 貢獻 100 至 600 個門。邏輯陣列由一系列邏輯陣列塊(LAB)構成。每個 LAB 包含 8 個 LE和一些局部互連,每個 LE 含有一個四輸入查找表(LUT) 、一個可編程觸發(fā)器、進位鏈和級連鏈。內部互連包括:快速、可預測

41、連線延時的快速通道連續(xù)式布線結構;實現(xiàn)快速加法器、計數(shù)器和比較器的專用進位鏈;實現(xiàn)高速、多輸入邏輯函數(shù)的專用級聯(lián)鏈;實現(xiàn)內部三態(tài)總線的三態(tài)模擬。器件的引腳由一些 I/O 單元驅動,每個引腳都有一個獨立的三態(tài)輸出使能控制及漏極開路配置選項;可編程輸出電壓的擺率控制,可以減小開關噪聲。4.2 硬件電路設計硬件電路設計4.2.1 結構框圖結構框圖整個系統(tǒng)結構框圖如圖圖 4-1 系統(tǒng)硬件電路框圖電機伺服器硬件電路主要由 FPGA 控制器、數(shù)據(jù)采集電路、信號調理電路、隔離電路和驅動電路等組成,各個模塊在中央控制器 FPGA 的控制下協(xié)調工作。使用 FPGA 器件可以將原來的電路板級產品即成為芯片級產品,

42、從而降低功耗,方便對設計進行修改。本設計采用的可編程邏輯器件為 ALTERA 公司的FLEX10K 系列的 EPF10K10LC84-4 芯片,它具有高密度,低成本,低功耗,靈活的內部連接和強大的 I/O 引腳功能等特點。數(shù)據(jù)采集電路由增量式編碼器構成,進行 4 分頻計數(shù)。4.2.2 數(shù)據(jù)采集電路數(shù)據(jù)采集電路上位機給定信號與位置檢測傳感器輸出信號送到數(shù)據(jù)采集電路,得到位置誤差信號及其變化率,即速度值。位置檢測傳感器采用精密電位器,精度為 0.1%,此回路構成系統(tǒng)的位置環(huán)和速度環(huán)。電流傳感器采用的是 CHB-25NP 型,額定輸入電流 25A,輸出電流 25mA,失調電流小于 0.3A,相應時間

43、小于 1uS。傳感器采集電機電樞電流,此回路構成系統(tǒng)的電流環(huán)。數(shù)據(jù)采集系統(tǒng)主要由增量式編碼器構成,利用 FPGA 控制它的三條通道同步采樣,分別采集指令信號、反饋信號和電流信號。編碼器是一種高精度的角位置測量傳感器,它具有分辨率高、相應速度快、體積小、輸出穩(wěn)定等特點,被廣泛應用與電機伺服控制系統(tǒng)中,通常情況下,編碼器分為絕對式和增量式兩種,在本文中采用的是 4 倍分頻的增量式編碼器。增量式編碼器實際上是一種旋轉式角位移檢測裝置,它根據(jù)軸所轉過的角度,輸出一系列脈沖,能將機械角度變成電脈沖,其輸出信號如圖 4-2 所示。A、B 兩路信號是相位相差 90的正交方波脈沖串,每個脈沖代表被測對象旋轉了

44、一定的角度,A、B 之間的相位關系則反映了被測對象的旋轉方向,即當 A相超前 B 相,轉動方向為正轉;當 B 相超前 A 相時,轉動方向為反轉。Z 信號是一個代表零位的脈沖信號,可用于調零、對位。 (a)編碼器正轉輸出 (b)編碼器反轉輸出圖 4-2 編碼器輸出信號對于每個確定的編碼器,每轉過固定角位移 ,就對應一個脈沖信號,故其量化誤差為 /2.若將 A 或 B 信號四倍頻,則在此 角位移內,就會產生 4 個脈沖信號其量化誤差下降為 /8,從而使編碼器的角位移測量精度提高 4 倍。如圖 4-3 所示,采用 4 個 D 觸發(fā)器鎖存輸入信號 A、B 的當前狀態(tài)及原狀態(tài),CLK 為周期至少小于編碼

45、器脈沖最小周期 1/4 的同步時鐘,經過三個異或門和兩個與門之后輸出的正反向四倍頻計數(shù)脈沖 AOUT 和 BOUT。最后將經過分頻、鑒相后的脈沖信號作為計數(shù)器的時鐘信號,就可以實現(xiàn)對脈沖信號的計數(shù)。圖 4-3 數(shù)據(jù)采集電路原理圖4.2.3 信號調理電路信號調理電路加到驅動電路上的 PWM 波需要經過調理電路的調整才能適合后端驅動電路的要求。光耦隔離后的信號經過以下調理電路,作為驅動電路 MOS 管的柵極驅動電壓。本系統(tǒng)的調理電路由三極管和電阻網(wǎng)絡組成。如圖 4-4 所示:+12R 13KR 312KR 22KR 63KR 812KQ 19013Q 29013Q 39013Q 49013Q 59

46、013Q 69013+12R 72K+12R 113KR 122KR 43.3KR 5750R 93.3KR 10750+56R 133KR 142K+12PWM 1PWM 2PWM 3D G3D G1D G2D G4PWM 44-4 調理電路電路圖4.2.4 隔離電路隔離電路為了提高系統(tǒng)的穩(wěn)定性,抵抗直流電機驅動部分電路對控制部分電路的干擾,我們采用隔離電路進行隔離。本系統(tǒng)中采用的是高速光耦 6N137 實現(xiàn)隔離操作,速度可以達到 500KHZ,基本解決了 PWM 波上升沿失真的問題。下圖中的 A、B、C、D 四路信號即為 FPGA 生成的 PWM 信號進過電平變換夠的輸入信號。光耦隔離電路

47、如圖 4-5 所示:IC 16N 1 37N CL ED +L ED -N CV CCE NO UTG NDIC 1AIC 1BIC 1CIC 1DR 133 0R 233 0R 333 0R 433 06N 1 37IC 2IC 36N 1 37IC 46N 1 37N CV CCN CN CN CE NO UTO UTG NDG NDL ED +L ED +L ED +L ED -L ED -L ED -V CCV CCN CN CE NE NO UTG NDR ?R ES 2R ?R ES 2R ?R ES 2R ?R ES 2+5ABCDPWM 1PWMPWMPWM4-5 隔離電路圖4

48、.2.5 驅動電路驅動電路驅動電路采用單極可逆驅動電路,PWM 波驅動兩組 NMOS 和 PMOS 組成的 H 橋電路,分別控制電機正轉和反轉。其中 PMOS 管采用 IRF9150,NMOS管采用 IRF150,兩管為對管。管子耐壓為 200V,電流為 40A,兩管并聯(lián)電流值為 80A。電機功率為 500W,額定電壓 56V,電流 12A。電路中外加續(xù)流二極管,已構成續(xù)流回路,續(xù)流二極管采用 MUR470(電流可達 6A,續(xù)流時間50nS) ,滿足電路續(xù)流要求。由于柵源間電壓不能超過18V,為了保護 MOS 管,增加穩(wěn)壓二極管(12V) 。采用單極受限 PWM 波控制兩組 NMOS 和 PM

49、OS 互補電路驅動直流電機,分別驅動電機正轉和反轉。當一組工作時,另一組截止,而且只有在電機發(fā)生換向時才考慮兩組 MOS 管同時導通的問題,即 PWM 波死區(qū)的問題。通過設定適當死區(qū),從而避免管子同時導通而導致電流過流發(fā)生的情況。電路如圖 4-6所示:D 5M UR 470D 6M UR 470D 7M UR 470D 8M UR 470D 112VD 212VD 312VD 412VQ 8IR F150Q 1IR F150Q 4IR F150Q 6IR F9150Q 2IR F9150Q 3IR F9150Q 7IR F9150Q 5IR F150+56D G1D G3D G3D G1D G

50、2D G2D G4D G4圖 4-6 驅動電路圖4.2.6 FPGA 控制電路控制電路采用 ISP 技術,通過 JTAG 接口電路直接向 EEPROM 芯片燒寫程序,簡單而又方便。JTAG 接口電路如下圖 4-7 所示。ISP(In-System Programmability)即在線系統(tǒng)可編程技術。具有 ISP 功能的器件在下載時無需專門的編程器,可直接在已制成的系統(tǒng)(稱為目標系統(tǒng))中或印制板上對芯片進行編程數(shù)據(jù)下載。ISP 技術為系統(tǒng)設計和制造帶來了很大的靈活性。傳統(tǒng)的 PLD 芯片需用專門的編程器來實現(xiàn)器件的功能定義。ISP 技術則不用專門編程器,直接在用戶的目標系統(tǒng)或印制板上對 PLD

51、 芯片進行數(shù)據(jù)下載,故稱為在線系統(tǒng)可編程。具有 ISP 性能的 CPLD 器件上基于 E2CMOS 工藝制造,其編程信息存儲于 E2PROM 內,可以隨時進行電編程和電擦除,且掉電時其編程信息不會丟失。但由于器件已經安裝在目標系統(tǒng)或印制電路板上,它的各個引腳與外部電路相連,因此在編程時最關鍵的問題就是如何使芯片與外部邏輯相脫離。對此,具備 ISP 的 CPLD 芯片在設計時,已采取了專門措施,使之編程時,器件的引腳處于高阻狀態(tài)。123456ABCD654321DCBATitleNumberRevisionSizeBDate:16-Jun-2008Sheet of File:F:protel d

52、ocBACK UP11.DDBDrawn By:12345678910J1JTAGR71KR41KR51KR61KVcc圖 4-7 JTAG 接口電路圖由于 EPF10K10LC84-4 芯片基于 SRAM 結構,掉電后芯片上程序消失。所以采用外部存儲器 EEPROM 芯片 EPCLC20。首先將程序存入 EEPROM 中,上電后,程序自動由 EEPROM 燒入 FPGA 芯片中。E PC LC 20T DOD AT AT CKD CL KV CC SE LN CN CN CN CN CnC EV PPC ON FnC A SET DIO EG NDV CCT MSW PPS ELV CCV

53、CCR 110 0R 210 0R 310 0nC3nINIT CONFOE圖 4-8 外部存儲器電路圖第第 5 章章 結論結論本次設計完成了直流電機伺服系統(tǒng)數(shù)字化控制的硬件設計并進行了軟件仿真,對設計原理和實現(xiàn)過程都進行了周密的理論分析和實驗論證。在實驗測試中,以 FPGA 作為中央控制器在數(shù)控領域顯示了其獨特的優(yōu)勢。首先,系統(tǒng)的相應時間主要取決于采樣電路和電機的運行時間,這樣程序算法的執(zhí)行時間非常小,速度很快。其次,在工作過程中,沒有發(fā)生以單片機作為控制器所經常發(fā)生的復位、死機等情況,F(xiàn)PGA 的抗干擾性得到了充分的驗證。再次,在實施設計的過程當中,F(xiàn)PGA 還有程序修改方便、方針可靠、集

54、成度高、布局布線容易等許多優(yōu)點。最后,設計中采用 VHDL 和 FPGA 相結合,設計的靈活性和效率都大大提高,系統(tǒng)的各項性能都得到充分滿足??傊?,F(xiàn)PGA 高集成度芯片的采用,保證了整個電路系統(tǒng)所用外圍器件較少,既減少了電路出現(xiàn)問題的排錯時間,也降低了系統(tǒng)的安裝難度。伺服系統(tǒng)設計經過不斷調試,硬件電路工作穩(wěn)定、可靠。系統(tǒng)軟件內部設置各種措施,控制芯片自身抗干擾性強。由此可見,數(shù)字集成設計和控制技術具有旺盛的生命力和市場前景,F(xiàn)PGA在各種干擾比較強,實時性要求高的控制領域內都將得到廣泛的應用。通過本次論文的撰寫,我的綜合運用所學知識的能力得到了鍛煉,既提高了自己解決實際工程問題的能力,同時也

55、提升高了我查閱所需文獻、設計流程、軟件制圖的水平,大幅度提升了自己對論文整體的把握和細節(jié)的斟酌的水平。致謝致謝值此畢業(yè)論文完成之際,衷心感謝我的導師白雪梅老師!幾個月的時間里,白老師以其淵博的學識,豐富的經驗在本課題的開題、研究過程、及論文撰寫各個階段給予了我悉心的指導和幫助。不懈的研究精神和崇高的敬業(yè)精神是她所給予我的寶貴的精神財富。為了使我能夠更好的完成論文,白老師為我提供了良好的學習環(huán)境與條件。她兢兢業(yè)業(yè)的工作精神、踏實真誠的處事態(tài)度也讓我感受到了她偉大的人格魅力。謹此在論文結束之際,向白老師致以最真誠的敬意和感激!感謝與我共同成長過的同學、好友:楊鑫、吳俊、盧力勛等。4 年的大學時光里

56、我們一同分享歡笑與淚水。特別要感謝我的父母,感謝他們無私的關懷和奉獻,使我能在人生道路上不斷成長。由于本人水平有限,文中有不妥之處難免,敬請各位老師和同學指正。在此向所有曾經關心我?guī)椭业娜藗儽硎旧钌罡兄x!參考文獻參考文獻 1 秦繼榮,沈安俊. 現(xiàn)代直流伺服控制技術及其系統(tǒng)設計. 北京:機械工業(yè)出版社,1999 2 王毓銀. 數(shù)字電路邏輯設計. 北京:高等教育出版社,1999 3 黃令龍,郭陽寬,蔣配軍等. 高精密伺服轉臺控制系統(tǒng)的設計. 清華大學學報,2004,44(8):1054-1056 4 王田苗,丑武勝. 機電控制基礎理論及應用. 北京:清華大學出版社,2002 5 Rovati L

57、,BonaiutiM,Pavan P. Design of a high - performance optical system for angular position measurement optical and electronic strategies for uncertainty reduction. Instrumentation and Measurement,2005,54 (5) :2075 - 2081 6 褚振勇,翁同木. FPGA 設計與應用. 西安:西安電子科技大學出版社,2002 7 潘松,黃繼業(yè). EDA 技術實用教程. 北京:科學出版社,2002 8 程抒

58、一,施光林. 基于 SOC 單片機 C8051F022 的直流電機伺服系統(tǒng). 傳感技術學報,2005,18(1) 9 FLEX10 Embedded Programmable Logic Device Family. ALTERA,2001 10 謝運祥,洪盛剛. 可編程邏輯器件的發(fā)展及其應用前景. 微電機,2002,35(1):3236 11 朱明程、孫普 譯. 可編程邏輯系統(tǒng)的 VHDL 設計技術. 東南大學出版社,1998 12 Semiconductor Components Industries. Brushless DC Motor Controller 13 葉東,周志煒,張飚彭

59、,國茂. 基于 FPGA 的多路光電編碼器數(shù)據(jù)采集系統(tǒng). 傳感器與微系統(tǒng),2006,25 (5)附錄附錄附附 1 數(shù)字分頻器程序數(shù)字分頻器程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin is port(clk:in std_logic; fout:out std_logic);end;architecture one of fenpin is signal full: std_logic; begin jishu:process(clk) variable cnt8

60、: std_logic_vector(7 downto 0); begin if clkevent and clk=1 then if cnt8=11111111 then cnt8:= 11100000;full=1; else cnt8:= cnt8+1; full=0; end if; end if; end process jishu; tiaobian:process(full) variable trigule:std_logic; begin if fullevent and full=1 then trigule:=not trigule; if trigule=1 then

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論