![EDA課程設(shè)計 籃球球比賽計分器_第1頁](http://file2.renrendoc.com/fileroot_temp3/2021-3/11/873e962b-8dc0-4a9b-8d76-7df09a96b59a/873e962b-8dc0-4a9b-8d76-7df09a96b59a1.gif)
![EDA課程設(shè)計 籃球球比賽計分器_第2頁](http://file2.renrendoc.com/fileroot_temp3/2021-3/11/873e962b-8dc0-4a9b-8d76-7df09a96b59a/873e962b-8dc0-4a9b-8d76-7df09a96b59a2.gif)
![EDA課程設(shè)計 籃球球比賽計分器_第3頁](http://file2.renrendoc.com/fileroot_temp3/2021-3/11/873e962b-8dc0-4a9b-8d76-7df09a96b59a/873e962b-8dc0-4a9b-8d76-7df09a96b59a3.gif)
![EDA課程設(shè)計 籃球球比賽計分器_第4頁](http://file2.renrendoc.com/fileroot_temp3/2021-3/11/873e962b-8dc0-4a9b-8d76-7df09a96b59a/873e962b-8dc0-4a9b-8d76-7df09a96b59a4.gif)
![EDA課程設(shè)計 籃球球比賽計分器_第5頁](http://file2.renrendoc.com/fileroot_temp3/2021-3/11/873e962b-8dc0-4a9b-8d76-7df09a96b59a/873e962b-8dc0-4a9b-8d76-7df09a96b59a5.gif)
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、 課程 設(shè)計報告 題目: 籃球比賽記分牌 姓 名: 院 系: 專 業(yè): 學 號: 指導(dǎo)教師: 完成時間: 日 月 年 籃球比賽記分牌 設(shè)計題目設(shè)計一個籃球比賽記分牌,段譯碼顯示數(shù)碼管,及器件EP1K10TC100-37 用PLD 具體要求如下: 分;1分,進球的21、 根據(jù)比賽實際情況記錄兩隊得分,罰球進的 設(shè)計要求 分功能;分、22、 記分牌要具有糾錯功能,能減1 個譯碼顯示管輸出比賽的分;利用33、 )和體硬件實驗方案,及實驗結(jié)收上機設(shè)計與仿真結(jié)包設(shè)計方技術(shù)以硬件描述語言來描述系統(tǒng)級設(shè)計,采用自頂向下的設(shè)計方法,并支ED語言具有具有很強的行為描述能力和多層次描述硬件功VHD系統(tǒng)仿真和高層綜
2、合的能力,是系統(tǒng)設(shè)計領(lǐng)域中使用最多的硬件描述語言之一;具有標準、規(guī)范等優(yōu)勢,在設(shè)計的各個階段對電路系統(tǒng)進行仿真和模擬,使設(shè)計者在系統(tǒng)的設(shè)計早期就能檢查計系統(tǒng)的功能,極大的減少了可能發(fā)生的錯誤,減少了開發(fā)成本設(shè)計方案設(shè)計過個七段譯位二進制全加器,一個二選一數(shù)據(jù)選擇器觸發(fā)器利用一顯示管組成電路,此電路具有加減、復(fù)位、顯示等功能。能夠滿足比賽的實際要求評成 目錄1 課程設(shè)計題目內(nèi)容與要求 1.1 設(shè)計內(nèi)容 1.2 具體要求 2系統(tǒng)設(shè)計 2.1 設(shè)計思路 2.2 系統(tǒng)原理 3 系統(tǒng)實現(xiàn) 4 系統(tǒng)仿真 5硬件驗證(操作)說明 6 總結(jié) 7參考書目 一、 課程設(shè)計題目、內(nèi)容與要求 1.1課程設(shè)計的題目籃球
3、比賽記分牌 :1.2課程設(shè)計內(nèi)容: 1、 根據(jù)比賽實際情況記錄兩隊得分,罰球進的1分,進球的2分; 2、 記分牌要具有糾錯功能,能減1分、2分功能; 3、 利用3個譯碼顯示管輸出比賽的分; 二、 系統(tǒng)設(shè)計 2.1設(shè)計思路: 籃球比賽記分牌是記錄兩隊比賽的得分情況,并能夠進行糾錯功能;根據(jù)系統(tǒng)設(shè)計的要求,籃球記分牌的電路原理框圖如下: 2.2 系統(tǒng)原理與設(shè)計說明 系統(tǒng)各個模塊的功能如下:1、D觸發(fā)器電路模塊實現(xiàn)翻轉(zhuǎn)功能當出錯時,輸出為1,使電路回到上一個正確的狀態(tài)。 2、4為二進制全加器電路模塊實現(xiàn)加法計數(shù)功能。 3、移位寄存器電路模塊保存比賽兩隊得分情況的4個相鄰狀態(tài),出錯時將調(diào)用上一個正確狀
4、態(tài)。 4、二選一數(shù)據(jù)選擇器電路模塊 用來控制移位寄存器 5、 LED數(shù)碼管驅(qū)動電路模塊 三、系統(tǒng)實現(xiàn) 各模塊電路的源程序如下: 1、D觸發(fā)器電路模塊及程序: set輸入(Q=1),清零應(yīng)該可以用復(fù)位鍵reset吧(Q=0)。 library ieee; use ieee.std_logic_1164.all; entity sync_rsdff is port(d,clk : in std_logic; set : in std_logic; reset: in std_logic; q,qb : out std_logic); end sync_rsdff; architecture rtl
5、_arc of sync_rsdff is begin process(clk) begin if (clkevent and clk=1) then if(set=0 and reset=1) then q=1; qb=0; elsif (set=1 and reset=0) then q=0; qb=1; else q=d; qb=not d; end if; end if; end process; end rtl_arc; 2、 移位寄存器模塊電路及程序: library IEEE; use IEEE.std_logic_1164.all; entity shft_reg is por
6、t ( DIR : in std_logic; CLK : in std_logic; CLR : in std_logic; SET : in std_logic; CE : in std_logic; LOAD : in std_logic; SI : in std_logic; DATA : in std_logic_vector(3 downto 0); data_out : out std_logic_vector(3 downto 0) ); end shft_reg; architecture shft_reg_arch of shft_reg is signal TEMP_da
7、ta_out : std_logic_vector(3 downto 0); begin process(CLK) begin if rising_edge(CLK) then if CE = 1 then if CLR = 1 then TEMP_data_out = elsif SET = 1 then TEMP_data_out = I1; elsif LOAD = 1 then TEMP_data_out = DATA; else if DIR = 1 then TEMP_data_out = SI & TEMP_data_out(3 downto 1); else TEMP_data
8、_out = TEMP_data_out(2 downto 0) & SI; end if; end if; end if; end if; end process; data_out = TEMP_data_out; end architecture; 3、二選一數(shù)據(jù)選擇器電路模塊及程序: entity mux is port(do,d1:in bit; sel:in bit; q:out bit); end mux; architecture a of mux is begin qa1,b=b1,sum=sum1,hcarry=cout1); u2:fulladd PORT MAP(in1
9、=a2,in2=b2,cin=cout1,fsum=sum2,fcarry=cout2); PORT u3:fulladd MAP(in1=a3,in2=b3,cin=cout2,fsum=sum3,fcarry=cout3); PORT u4:fulladd MAP(in1=a4,in2=b4,cin=cout3,fsum=sum4,fcarry=cout4); END add_arc; 5、七段譯碼電路及程序: library ieee; use ieee.std_logic_1164.all; entity deled is port( datain:in std_logic_vecto
10、r(3 downto 0); qout:out std_logic_vector(6 downto 0) ); end deled; architecture func of deled is begin process(datain) begin if datain= elsif datain= elsif datain= 0 then qout=H1101; elsif datain= 1 then qout=I1001; elsif datain= 0 then qout=0011; elsif datain= 1 then qout=A1011; elsif datain= 0 the
11、n qout=A1111; elsif datain= 1 then qout=I0000; elsif datain= 0 then qout=I1111; elsif datain= 1 then qout=I1011; else null; end if; end process; end func; 四、系統(tǒng)仿真 1、D觸發(fā)器電路模塊仿真波形: 、移位寄存器模塊電路仿真波形:2 3、二選一數(shù)據(jù)選擇器電路模塊仿真波形: 4、加法計數(shù)器的電路模塊仿真波形: 、七段譯碼電路仿真波形:5 五硬件驗證說明 這次設(shè)計采用的硬件電路有芯片EP1K10TC100-3,實驗板上標準時鐘電路、LED 顯示等, 六、總結(jié) 七、參考書目 1PLD與數(shù)字系統(tǒng)設(shè)計李輝 西安電子科技大學出版社 20
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 《大學物理(上冊)》課件-第1章
- 2025-2030全球車輛燃油油位計行業(yè)調(diào)研及趨勢分析報告
- 2025-2030全球電積銅行業(yè)調(diào)研及趨勢分析報告
- 2025年全球及中國直接空氣捕獲和儲存(DACS)行業(yè)頭部企業(yè)市場占有率及排名調(diào)研報告
- 2025-2030全球多層土壤傳感器行業(yè)調(diào)研及趨勢分析報告
- 2025年全球及中國阻燃塑料薄膜和片材行業(yè)頭部企業(yè)市場占有率及排名調(diào)研報告
- 2025-2030全球醫(yī)用手指康復(fù)訓(xùn)練儀行業(yè)調(diào)研及趨勢分析報告
- 2025-2030全球化學谷物熏蒸劑行業(yè)調(diào)研及趨勢分析報告
- 2025年全球及中國智慧教育公共服務(wù)平臺行業(yè)頭部企業(yè)市場占有率及排名調(diào)研報告
- 2025年全球及中國工業(yè)膠囊填充設(shè)備行業(yè)頭部企業(yè)市場占有率及排名調(diào)研報告
- 2025年度院感管理工作計劃(后附表格版)
- 勵志課件-如何做好本職工作
- 化肥銷售工作計劃
- 2024浙江華數(shù)廣電網(wǎng)絡(luò)股份限公司招聘精英18人易考易錯模擬試題(共500題)試卷后附參考答案
- 2024年山東省濟南市中考英語試題卷(含答案解析)
- 2024年社區(qū)警務(wù)規(guī)范考試題庫
- 2025中考英語作文預(yù)測:19個熱點話題及范文
- 第10講 牛頓運動定律的綜合應(yīng)用(一)(講義)(解析版)-2025年高考物理一輪復(fù)習講練測(新教材新高考)
- 靜脈治療護理技術(shù)操作標準(2023版)解讀 2
- 2024年全國各地中考試題分類匯編(一):現(xiàn)代文閱讀含答案
- GB/T 30306-2024家用和類似用途飲用水處理濾芯
評論
0/150
提交評論