




版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、北京郵電大學數(shù)字電路與邏輯設(shè)計實驗題目:洗衣機控制器 學院:信息與通信工程學院 班級:學號:姓名:班內(nèi)序號日期:2015年11月5日一、設(shè)計課題的任務(wù)要求:(1)實驗目的:1、熟練掌握 VHDL 語言和QuartusII 軟件的使用;2、理解狀態(tài)機的工作原理和設(shè)計方法;3、掌握利用 EDA 工具進行自頂向下的電子系統(tǒng)設(shè)計方法;(2)實驗內(nèi)容:基本要求:1、 洗衣機的工作步驟為洗衣、漂洗和脫水三個過程,工作時間分別為:洗衣 30 秒(進水5秒,洗衣15秒,排水5秒,甩干5秒),漂洗25 秒(進水5秒,漂洗10秒,排水5秒,甩干5秒),脫水15 秒(排水5秒,甩干10秒);2、 用一個按鍵實現(xiàn)洗衣
2、程序的手動選擇:A、單洗滌;B、單漂洗;C、單脫水;D、漂洗和脫水;E、洗滌、漂洗和脫水全過程;3、用發(fā)光二極管顯示洗衣機的工作狀態(tài)(洗衣、漂洗和脫水),并倒計時顯示每個狀態(tài)的工作時間,全部過程結(jié)束后,應(yīng)有聲音提示使用者;4、用點陣動畫顯示洗衣機工作過程中進水、波輪或滾筒轉(zhuǎn)動、排水和甩干等的工作情況,四種工作情況的動畫顯示要有區(qū)別且盡可能的形象;5、用一個按鍵實現(xiàn)暫停洗衣和繼續(xù)洗衣的控制,暫停后繼續(xù)洗衣應(yīng)回到暫停之前保留的狀態(tài)。提高要求:1、 三個過程的時間有多個選項供使用者選擇。2、可以預約洗衣時間。3、自擬其它功能。二、系統(tǒng)設(shè)計:(1)設(shè)計思路和分塊設(shè)計:實驗的目的是模仿洗衣機的功能,設(shè)計
3、出洗衣機控制器。包括開關(guān)控制,工作暫停,工作模式的選擇,工作狀態(tài)的顯示,倒計時工作時間并顯示和工作結(jié)束報警等功能。將整個控制器分為7個小模塊,分別是分頻模塊、按鍵模塊、控制器、防抖模塊、報警模塊、點陣顯示和數(shù)碼管譯碼輸出顯示,再將各個模塊連接起來,實現(xiàn)洗衣機控制器功能。分頻模塊:選取主時鐘頻率為1kHz,為了使倒計時輸出為1s的時間周期,需要將主頻率進行1kHz分頻,使得到的頻率為1Hz,輸入給控制器的時鐘。按鍵模塊:有模式選擇、暫停和開始3個按鍵,模式選擇可以選擇5種洗衣模式,當開始按鍵按下并且有模式輸入時,洗衣機開始工作。當暫停按鍵按下時,洗衣機暫停工作并保持當前工作狀態(tài)。防抖模塊:按鍵經(jīng)
4、過防抖后,可以輸出時鐘,去除了毛刺即抖動時鐘。報警模塊:時鐘輸入為1kHz,當洗衣結(jié)束后,報警模塊接收到控制器給它的輸入信號,會以1000Hz的頻率報警,(由于人耳能聽到的頻率為20-20000Hz)持續(xù)5秒后停止。點陣顯示:以1kHz的頻率進行掃描,點陣上可以顯示穩(wěn)定的圖案,在洗衣過程中分別顯示進水、洗衣、漂洗、脫水和甩干5個小狀態(tài)。數(shù)碼管譯碼輸出顯示:控制器將倒計時十位和個位輸出到譯碼器,譯碼電路分別顯示十位和個位,掃描頻率為1kHz,數(shù)碼管可以穩(wěn)定顯示??刂破鳎嚎刂破魇钦麄€電路的核心模塊,控制各個模塊的連接。時鐘頻率為1Hz,輸出為3個大狀態(tài)(洗衣、漂洗、脫水),用發(fā)光二極管顯示,5個小
5、狀態(tài),十位個位輸出和報警輸出。用狀態(tài)轉(zhuǎn)移控制各個過程的轉(zhuǎn)換,控制各個小狀態(tài)的轉(zhuǎn)換,完成各個功能顯示的連接。(2)總體框圖:系統(tǒng)框圖分頻模塊 clk數(shù)碼管譯碼輸出顯示點陣顯示控制器防抖模塊報警模塊按鍵模塊狀態(tài)轉(zhuǎn)移圖開始 001|101011漂洗 010|100 101 101|101洗衣脫水001 010 011|101|100結(jié)束ASM圖開始 輸入狀態(tài) 否狀態(tài)是否為0 開始按鍵輸入 是 否是否開始倒計時時間顯示 否是點陣顯示(5種小狀態(tài))是否暫停倒計時 二極管顯示(3種大狀態(tài))暫停時間是否為0 是 是否是否繼續(xù) 是報警 是否有新狀態(tài)否結(jié)束是結(jié)束 否 三、仿真波形及波形分析分頻模塊:clk為10
6、00Hz的時鐘,輸出clkout為分頻后的時鐘,頻率為1Hz。防抖模塊:輸入reset信號有抖動,輸出resetn為無抖動的時鐘。按鍵模塊:輸入pauseclk、startclk、stateclk分別為暫停、開始、模式輸入按鍵,當按下暫停按鍵時,暫停輸出pauseout變?yōu)楦唠娖?,洗衣機工作狀態(tài)保持不變;當按下開始按鍵時,輸出startout變?yōu)楦唠娖?,洗衣機開始工作;當按下模式按鍵時,可以選擇5種工作模式,輸出對應(yīng)5種模式。報警模塊:時鐘clk為1000Hz,為蜂鳴器工作頻率,當輸入信號alarmin為高電平時,輸出alarmout變?yōu)楦唠娖剑S持5秒后變?yōu)榈碗娖?,結(jié)束報警。數(shù)碼管譯碼輸出顯
7、示:clk為1kHz的掃描頻率,gewei、shiwei分別為個位、十位輸入,showout為數(shù)碼管譯碼輸出,十位個位交替顯示,cat控制數(shù)碼管的點亮,分別顯示十位和個位輸出??刂破鳎簳r鐘clk為1Hz,輸入按鍵信號pause(暫停)、start(開始),洗衣工作模式state,輸出mode為洗衣、漂洗、脫水三個大狀態(tài),輸出mode_mini為進水、洗衣、漂洗、排水、甩干五個小狀態(tài),輸出time_gewei、time_shiwei為個位、十位轉(zhuǎn)換為二經(jīng)制的輸出,alarm為報警輸出,當洗衣結(jié)束輸出高電平。四、源程序(1)、分頻模塊entity div isport(clk:in std_log
8、ic; -1kHzclkout:out std_logic -1Hz);end div;architecture div_arch of div issignal t : std_logic;signal count : integer range 0 to 499; -計數(shù)500個周期beginprocess(clk)begin if (clk' event and clk='1') thenif(count=499) -1000分頻then count<=0;t<= not t;else count<=count+1;end if;end if;e
9、nd process;clkout<=t;end;(2)、按鍵模塊entity button isport(stateclk,pauseclk,startclk : in std_logic; -按鍵輸入stateout: out std_logic_vector(2 downto 0); -5種模式輸出pauseout,startout : out std_logic -暫停、開始輸出);end button;architecture archi of button isbeginp1:process (stateclk) -5種模式variable cout1: integer ra
10、nge 0 to 5; beginif (stateclk'event and stateclk='1') then cout1 :=cout1+1;if(cout1>5) then cout1 := cout1 mod 5;end if;end if;case cout1 is when 1 => stateout <="001" when 2 => stateout <="010" when 3 => stateout <="011" when 4 => st
11、ateout <="100" when 5 => stateout <="101" when 0 => stateout <="000"end case;end process p1 ;p2:process(pauseclk) -當有按鍵按下,暫停工作,再次按下繼續(xù)工作variable cout2: integer range 0 to 1; begin if (pauseclk'event and pauseclk='1') then cout2:=cout2+1; end if
12、; if(cout2=1) then pauseout<='1' else pauseout<='0' end if;end process p2;p3:process(startclk) -按鍵按下開始工作variable cout3: integer range 0 to 1; begin if (startclk'event and startclk='1') then cout3:=cout3+1; end if; if(cout3=1) then startout<='1' else start
13、out<='0' end if;end process p3;end archi;(3)、防抖模塊entity doudong isport (clk,reset: in std_logic; -clk為1kHz,reset為按鍵信號resetn: out std_logic -防抖后的按鍵信號);end doudong;architecture a of doudong issignal tmp1,tmp2: std_logic; beginprocess (clk)beginif (clk'event and clk='0') then tmp
14、2<=tmp1; tmp1<=reset; end if; end process; resetn<=clk and tmp1 and (not tmp2); end a;(4)、點陣顯示entity dianzhen isport (clk_scan:in std_logic; -1kHz的時鐘掃描頻率condition:in std_logic_vector(2 downto 0); -5個小狀態(tài)輸入row: out std_logic_vector(7 downto 0); -行掃描col_R: out std_logic_vector(7 downto 0); -列掃
15、描(紅色亮)col_G: out std_logic_vector(7 downto 0) -列掃描(綠色亮));end dianzhen;architecture arc_dianzhen of dianzhen is signal scan_row: std_logic_vector(2 downto 0); -行掃描begin p1:process(clk_scan,condition)begin if clk_scan'event and clk_scan='1' thencase condition iswhen"000"=> -進水
16、case scan_row is when "000"=> row<="10111111"col_R<="01111110"col_G<="01111110"when "001"=>row<="11011111"col_R<="01000010"col_G<="01000010"when "010"=>row<="11101111"col
17、_R<="01000010"col_G<="11100010"when "011"=>row<="11110111"col_R<="01000010"col_G<="11010010"when "100"=>row<="11111011"col_R<="01000010"col_G<="01001010"when "101&qu
18、ot;=>row<="11111101"col_R<="01111110"col_G<="01111110"when others=>row<="11111111"col_R<="00000000"col_G<="00000000"end case;if scan_row="110" or scan_row="111" thenscan_row<="000"els
19、e scan_row<=scan_row+1;end if;when"001"=> case scan_row is -洗衣when "000"=> row<="10111111"col_R<="01111110"col_G<="01111110"when "001"=>row<="11011111"col_R<="01011010"col_G<="01000010&
20、quot;when "010"=>row<="11101111"col_R<="01100110"col_G<="01000010"when "011"=>row<="11110111"col_R<="01100110"col_G<="01000010"when "100"=>row<="11111011"col_R<="
21、01011010"col_G<="01000010"when "101"=>row<="11111101"col_R<="01111110"col_G<="01111110"when others=>row<="11111111"col_R<="00000000"col_G<="00000000"end case;if scan_row="110" or
22、 scan_row="111" thenscan_row<="000"else scan_row<=scan_row+1;end if;when"010"=> -漂洗case scan_row iswhen "000"=> row<="10111111"col_R<="01111110"col_G<="01111110"when "001"=>row<="11011111&
23、quot;col_R<="01000010"col_G<="01011010"when "010"=>row<="11101111"col_R<="01000010"col_G<="01100110"when "011"=>row<="11110111"col_R<="01000010"col_G<="01000010"when &quo
24、t;100"=>row<="11111011"col_R<="01000010"col_G<="01011010"when "101"=>row<="11111101"col_R<="01111110"col_G<="01111110"when others=>row<="11111111"col_R<="00000000"col_G<
25、="00000000"end case;if scan_row="110" or scan_row="111" thenscan_row<="000"else scan_row<=scan_row+1;end if;when"011"=> -排水 case scan_row iswhen "000"=> row<="10111111"col_R<="01111110"col_G<="1
26、1111110"when "001"=>row<="11011111"col_R<="01000010"col_G<="11000010"when "010"=>row<="11101111"col_R<="01000010"col_G<="11000010"when "011"=>row<="11110111"col_R<
27、;="01000010"col_G<="11000010"when "100"=>row<="11111011"col_R<="01000010"col_G<="11111010"when "101"=>row<="11111101"col_R<="01111110"col_G<="01111110"when others=>row<
28、;="11111111"col_R<="00000000"col_G<="00000000"end case;if scan_row="111" thenscan_row<="000"else scan_row<=scan_row+1;end if;when"100"=> -甩干case scan_row iswhen "000"=> row<="10111111"col_R<="
29、;10010000"col_G<="00000000"when "001"=>row<="11011111"col_R<="01010000"col_G<="00000000"when "010"=>row<="11101111"col_R<="00110000"col_G<="00000110"when "011"=>row&
30、lt;="11110111"col_R<="00010000"col_G<="00001001"when "100"=>row<="11111011"col_R<="00110000"col_G<="00001001"when "101"=>row<="11111101"col_R<="01010000"col_G<="0000
31、0110"when "110"=>row<="11111110"col_R<="10010000"col_G<="00000000"when "111"=>row<="01111111"col_R<="00010000"col_G<="00000000"end case;if scan_row="111" thenscan_row<="000&
32、quot;else scan_row<=scan_row+1;end if;when others=> -沒有狀態(tài),不顯示 case scan_row iswhen "000"=> row<="10111111"col_R<="00000000"col_G<="00000000"when "001"=>row<="11011111"col_R<="00000000"col_G<="000
33、00000"when "010"=>row<="11101111"col_R<="00000000"col_G<="00000000"when "011"=>row<="11110111"col_R<="00000000"col_G<="00000000"when "100"=>row<="11111011"col_R<=
34、"00000000"col_G<="00000000"when "101"=>row<="11111101"col_R<="00000000"col_G<="00000000"when "110"=>row<="11111110"col_R<="00000000"col_G<="00000000"when "111"=>
35、;row<="01111111"col_R<="00000000"col_G<="00000000"end case;if scan_row="111" thenscan_row<="000"else scan_row<=scan_row+1;end if; end case;end if;end process p1;end arc_dianzhen;(5)、報警模塊entity alarm isport( clk,alarmin: in std_logic; -
36、clk為1kHz時鐘頻率,alarmin為報警輸入alarmout:out std_logic -報警輸出,持續(xù)時間為5秒);end alarm;architecture a of alarm issignal cnt: integer range 0 to 4999;begin process(clk)beginif clk'event and clk='1' thenif alarmin='1' thenif( cnt/= 4999) then -5秒報警cnt<=cnt+1;alarmout<='1'else alarm
37、out<='0'end if;else cnt<=0;alarmout<='0'end if;end if;end process;end a;(6)、數(shù)碼管譯碼輸出顯示entity ledshow isport(clk: in std_logic; -1kHz掃描頻率shiwei,gewei: in std_logic_vector(3 downto 0);-十位、個位二進制輸入showout:out std_logic_vector(6 downto 0); -數(shù)碼管譯碼輸出cat:out std_logic_vector(5 downto
38、 0) -控制數(shù)碼管依次點亮);end ledshow ;architecture a of ledshow issignal cnt: integer range 0 to 1; -控制個位、十位交替點亮beginprocess(clk,shiwei,gewei)begin if(clk'event and clk='1') then case cnt iswhen 0=>cat<="111101"cnt<=1; -第5個數(shù)碼管顯示十位case shiwei is when "0000"=>showout
39、<="1111110" -0when "0001"=>showout<="0110000" -1when "0010"=>showout<="1101101" -2when "0011"=>showout<="1111001" -3when "0100"=>showout<="0110011" -4when "0101"=>showout
40、<="1011011" -5when "0110"=>showout<="1011111" -6when "0111"=>showout<="1110000" -7when "1000"=>showout<="1111111" -8when "1001"=>showout<="1111011" -9when others=>showout<="
41、;0000000"end case; when 1=> cat<="111110" cnt<=0; -第6個數(shù)碼管顯示個位case gewei iswhen "0000"=>showout<="1111110" -0when "0001"=>showout<="0110000" -1when "0010"=>showout<="1101101" -2when "0011"=
42、>showout<="1111001" -3when "0100"=>showout<="0110011" -4when "0101"=>showout<="1011011" -5when "0110"=>showout<="1011111" -6when "0111"=>showout<="1110000" -7when "1000"=
43、>showout<="1111111" -8when "1001"=>showout<="1111011" -9when others=>showout<="0000000"end case; end case; end if; end process ;end a;(7)、控制器entity control isport(clk,pause,start: in std_logic; -1Hz時鐘頻率,開始、暫停輸入state: in std_logic_vector(2 dow
44、nto 0); -5種模式輸入mode: out std_logic_vector(2 downto 0); -3種大狀態(tài)輸出mode_mini: out std_logic_vector(2 downto 0); -5種小狀態(tài)輸出time_gewei,time_shiwei:out std_logic_vector(3 downto 0);-時間二進制輸出alarm: out std_logic -報警信號);end control;architecture a of control is signal tmp1:std_logic_vector(2 downto 0); -5種小狀態(tài)sig
45、nal tmp2:integer range 0 to 30; -倒計時signal tmp3:std_logic_vector(2 downto 0); -3種大狀態(tài)signal pre_state:integer range 0 to 4; -轉(zhuǎn)移狀態(tài)signal tmp5:std_logic; -報警signal cnt:integer range 0 to 8; -報警輸入begin p1:process(clk)begin if(clk'event and clk='1') thenif(start='1') then -有開始信號,開始工作
46、if (pause='0')then -無暫停if(tmp2=0) then -倒計時為0,判斷新狀態(tài) case pre_state is -當前狀態(tài) when 0=> -當前處于開始狀態(tài) if state="001"or state="101" then pre_state<=1; tmp2<=30; elsif state<="011" then pre_state<=3; tmp2<=15; elsif state<="010" or state<
47、;="100" then pre_state<=2; tmp2<=25; end if; when 1=> -當前處于洗衣狀態(tài) if state="101" then pre_state<=2; tmp2<=25; elsif state<="001" thenpre_state<=4; tmp2<=0; tmp5<='1' alarm<=tmp5; end if; when 2=> -當前處于漂洗狀態(tài) if state="101"o
48、r state="100" then pre_state<=3; tmp2<=15; elsif state<="010" then pre_state<=4; tmp2<=0; tmp5<='1' alarm<=tmp5; end if; when 3=> -當前處于脫水狀態(tài) if state="011" or state="100"or state="101"then pre_state<=4; tmp2<=0; t
49、mp5<='1' alarm<=tmp5; end if; when 4=> -當前處于結(jié)束狀態(tài) tmp2<=0; tmp5<='1' alarm<=tmp5; if (tmp5='1') then if (cnt<8) then cnt<=cnt+1; else tmp5<='0' alarm<=tmp5;cnt<=0; end if; elseif state="001" or state="010" or state=&
50、quot;011" or state="100" or state="101" then pre_state<=0; -結(jié)束洗衣后,判斷是否有新狀態(tài) end if; end if; end case;end if; end if; if (tmp2/=0) then -倒計時 tmp2<=tmp2-1; end if;if(pause='1')then -暫停 pre_state<=pre_state; tmp2<=tmp2; end if; end if;end if;end process; p2:p
51、rocess(pre_state)begincase pre_state is -將當前狀態(tài)對應(yīng)到相應(yīng)3個大狀態(tài) when 1 =>tmp3<="001" -洗衣 when 2 =>tmp3<="010" -漂洗 when 3 =>tmp3<="100" -脫水 when others =>tmp3<="000" end case; mode<=tmp3; time_shiwei <= conv_std_logic_vector(tmp2/10,4); -
52、將十進制轉(zhuǎn)為二進制 time_gewei <= conv_std_logic_vector(tmp2 mod 10,4); end process; p3:process(pre_state,tmp2) begincase pre_state is -將每個狀態(tài)對應(yīng)到小狀態(tài)when 1=> if (tmp2 >25) then tmp1<="000" ; -進水 elsif (tmp2>10 and tmp2<=25) then tmp1<="001" elsif (tmp2>5 and tmp2<=
53、10) then tmp1<="011" -洗滌 elsif (tmp2>0 and tmp2<=5) then tmp1<="100" -排水 else tmp1<="111" -甩干 end if;when 2=> if (tmp2 >20) then tmp1<="000" ; -進水 elsif (tmp2>10 and tmp2<=20) then tmp1<="010" -漂洗 elsif (tmp2>5 and
54、 tmp2<=10) then tmp1<="011" -排水 elsif (tmp2>0 and tmp2<=5) then tmp1<="100" -甩干 else tmp1<="111" end if;when 3=> if (tmp2 >10) then tmp1<="011" ; -排水 elsif (tmp2>0 and tmp2<=10) then tmp1<="100" -甩干 else tmp1<=&
55、quot;111" end if;when others =>tmp1<="111" end case; mode_mini<=tmp1;end process;end a;頂層文件library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity laundry isport(clk_main,state,pause,start:in std_logic;-主時鐘,模式、暫停、開始按鍵mode_out:out std_logic_vector(2 dow
56、nto 0);-3種狀態(tài)輸出,用發(fā)光二極管顯示ledshow_out:out std_logic_vector(6 downto 0);-數(shù)碼管倒計時輸出cat_out:out std_logic_vector(5 downto 0);-十位、個位交替點亮alarm_out:out std_logic; -報警輸出(持續(xù)5秒)row_out: out std_logic_vector(7 downto 0);-行掃描col_R_out: out std_logic_vector(7 downto 0);-列掃描(紅色)col_G_out: out std_logic_vector(7 down
57、to 0)列掃描(綠色));end laundry;architecture arch_laundry of laundry iscomponent alarm -報警模塊port(clk,alarmin: in std_logic;alarmout:out std_logic);end component;component ledshow -數(shù)碼管譯碼輸出模塊port(clk: in std_logic;shiwei,gewei: in std_logic_vector(3 downto 0);showout:out std_logic_vector(6 downto 0);cat:out
58、 std_logic_vector(5 downto 0);end component;component doudong -防抖模塊port(clk,reset: in std_logic;resetn: out std_logic);end component;component div -分頻模塊port(clk:in std_logic;clkout:out std_logic);end component;component dianzhen -點陣模塊port(clk_scan:in std_logic;condition:in std_logic_vector(2 downto
59、0);row: out std_logic_vector(7 downto 0);col_R: out std_logic_vector(7 downto 0);col_G: out std_logic_vector(7 downto 0);end component;component control -控制器port(clk,pause,start: in std_logic;state: in std_logic_vector(2 downto 0); -5zhong moshimode: out std_logic_vector(2 downto 0); -3zhong zhuangt
60、aimode_mini: out std_logic_vector(2 downto 0); -5zhong xiaozhuangtaitime_gewei,time_shiwei:out std_logic_vector(3 downto 0);alarm: out std_logic);end component;component button -按鍵模塊port(stateclk,pauseclk,startclk : in std_logic;stateout: out std_logic_vector(2 downto 0);pauseout,startout : out std_logic);end component;signal clk11,cn1,cn2,cn3,cn4,cn5,cn6:std_logic; -連接線s
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 鎢礦石開采三季度安全生產(chǎn)培訓
- 服裝廠生產(chǎn)線安全事故應(yīng)急預案
- 2024年營銷試用期工作總結(jié)
- 新英語學期工作總結(jié)
- 綠化工程承包合同協(xié)議
- 美容店客戶群及服務(wù)資產(chǎn)二零二五年度轉(zhuǎn)讓協(xié)議
- 二零二五年度勞動仲裁調(diào)解協(xié)議范本:礦產(chǎn)資源開發(fā)企業(yè)勞動者權(quán)益保障協(xié)議
- 二零二五年度股權(quán)交易與戰(zhàn)略聯(lián)盟合作協(xié)議書
- 2025年度環(huán)保產(chǎn)業(yè)技術(shù)人才招聘與環(huán)保項目合作協(xié)議
- 二零二五年度門窗行業(yè)廣告合作合同
- 氣管插管操作并發(fā)癥
- DB43-T 2142-2021學校食堂建設(shè)與食品安全管理規(guī)范
- 2024年四川成都農(nóng)業(yè)科技中心管理人員招聘1人歷年(高頻重點復習提升訓練)共500題附帶答案詳解
- DL∕T 2447-2021 水電站防水淹廠房安全檢查技術(shù)規(guī)程
- 廣東省深圳市2024年高一下學期期末調(diào)研考試英語試題含解析
- JT∕T 795-2023 事故汽車修復技術(shù)規(guī)范
- 2024年長沙職業(yè)技術(shù)學院單招職業(yè)適應(yīng)性測試題庫及答案1套
- 建筑工程給排水安裝工程施工工藝標準
- 數(shù)智時代的AI人才糧倉模型解讀白皮書(2024版)
- 體檢銷售如何開發(fā)客戶培訓
- 新教材-人教版高中物理選擇性必修第一冊-第四章-光-知識點考點重點難點提煉匯總
評論
0/150
提交評論