版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、文檔病房呼叫系統(tǒng)的設(shè)計(jì)1 設(shè)計(jì)要求1用 5個(gè)開關(guān)模擬 5個(gè)病房的呼叫輸入信號, 1號優(yōu)先級最高; 1到 5優(yōu)先級 依次降低;2用一個(gè)數(shù)碼管顯示呼叫信號的;沒信號呼叫時(shí)顯示 0;又多個(gè)信號呼叫 時(shí),顯示優(yōu)先級最高的呼叫號(其它呼叫號用指示燈顯示);3凡有呼叫發(fā)出 5 秒的呼叫聲;4對低優(yōu)先級的呼叫進(jìn)行存儲(chǔ),處理完高優(yōu)先級的呼叫,再進(jìn)行低優(yōu)先級呼 叫的處理(附加)。2 設(shè)計(jì)思路及方案用 74148優(yōu)先編碼器來實(shí)現(xiàn)病房呼叫的優(yōu)先顯示,用 7447 七段字形譯碼器 接半導(dǎo)體數(shù)碼管來用數(shù)字顯示最高優(yōu)先級的病房號,用計(jì)數(shù)器74193 來實(shí)現(xiàn)模五的計(jì)數(shù)器,調(diào)節(jié) clock 輸入脈沖的頻率來實(shí)現(xiàn)五秒的控制來實(shí)
2、現(xiàn)閃爍五秒。 再用 D 觸發(fā)器來實(shí)現(xiàn)鎖存和復(fù)位清零功能。3 設(shè)計(jì)過程3.1 優(yōu)先編碼和數(shù)據(jù)清零模塊優(yōu)先編碼和數(shù)據(jù)清零模塊的電路圖如圖 1 所示圖 1 優(yōu)先編碼和數(shù)據(jù)清零模塊該病房呼叫系統(tǒng)一共有 6 個(gè)輸入,分別是 15 號病房的呼叫開關(guān) num1num5和 1 個(gè)復(fù)位清零健 action (初始工作時(shí)至“ 1”),這六個(gè)開關(guān)配合 D觸發(fā)器來實(shí)現(xiàn)清零復(fù)位。由于 74148低電平有效,所以輸入 74148 前先用非 門取反,此電路用到的 74148管腳輸入對應(yīng)輸出真值表如表 1 所示。表 1 74148 輸入輸出真值表輸入輸出XXXX0001XXX01010XX011011X01111000111
3、11013.2 模五計(jì)數(shù)器以及燈閃爍五秒的模擬模五計(jì)數(shù)器電路圖如圖 2 所示圖 2 模五計(jì)數(shù)器電路圖如圖先將 74193接成模五計(jì)數(shù)器,當(dāng)有病房呼叫時(shí) CLR為 0,計(jì)數(shù)器計(jì) 數(shù),當(dāng)沒有病房呼叫時(shí)計(jì)數(shù)器清零,通過調(diào)節(jié) clock 輸入脈沖的頻率來實(shí)現(xiàn)閃 爍五秒的控制。3.3 顯示病房號功能顯示病房號功能的電路圖如圖 3 所示。圖3 顯示病房號的電路圖說明:該模塊的輸入為 74148的輸入,通過 7447 七段字形譯碼器進(jìn)譯碼,將結(jié)果輸出到半導(dǎo)體數(shù)碼管進(jìn)行顯示3.4 設(shè)計(jì)總圖設(shè)計(jì)總圖如圖 4 所示。圖4 設(shè)計(jì)總圖說明:護(hù)士將 action 調(diào)節(jié)為 1,表示工作。當(dāng)有病人呼叫時(shí),數(shù)碼管顯示 優(yōu)先級
4、高的病房號,所有的指示燈均亮。 74193 和脈沖輸入 clock 來控制燈閃 爍 5 秒。該設(shè)計(jì)基本上實(shí)現(xiàn)了病房呼叫系統(tǒng)的基本要求。4 仿真結(jié)果圖5 3 號床位呼叫仿真圖3 號床位呼叫仿真圖如圖 5 所示。開始時(shí), 7447 輸出編碼為 0000001,相 應(yīng)的半導(dǎo)體數(shù)碼管顯示為 0.當(dāng) 3號病房呼叫,閃爍燈開始閃爍 5秒, out3 指示 燈亮, 7447輸出編碼為: 0000110,對應(yīng)的顯示數(shù)字符號為 3. 護(hù)士按下 action 使其為 0,實(shí)現(xiàn)復(fù)位。圖 6 2、3、4 號床位同時(shí)呼叫仿真圖2,3,4病房同時(shí)呼叫的仿真圖如圖 6所示。 2、3、 4 同時(shí)呼叫時(shí)閃爍燈開 始閃爍 5 秒
5、,out2,out3,out4 指示燈亮, 7447 輸出編碼為: 0010010,對應(yīng)的 數(shù)碼顯示為 2.圖 7 復(fù)位后新一輪的呼叫仿真圖復(fù)位后新一輪的呼叫仿真圖如圖 7 所示。護(hù)士復(fù)位后,開始新一輪的病房 呼叫。圖 8 優(yōu)先級高的呼叫仿真圖優(yōu)先級高的呼叫仿真圖如圖 8 所示。優(yōu)先級高的呼叫時(shí),在還沒處理低的優(yōu)先級時(shí),有高優(yōu)先級呼叫,數(shù)碼顯示會(huì)變成高優(yōu)先級病房號的顯示。設(shè)計(jì)總結(jié)本設(shè)計(jì)是為在病人緊急需要時(shí)能很快進(jìn)行救治的呼叫系統(tǒng) , 增強(qiáng)醫(yī)護(hù)人員更 好的監(jiān)護(hù)病人。此系統(tǒng)的優(yōu)點(diǎn)特色在于可以設(shè)立呼叫優(yōu)先等級而不是單純的病 人呼叫,這樣避免在有多個(gè)病人同時(shí)呼叫時(shí),醫(yī)護(hù)人員不知道應(yīng)該先救治哪 個(gè)。在設(shè)
6、計(jì)初期我不知道當(dāng)有多個(gè)病人同時(shí)發(fā)出信號呼叫時(shí),優(yōu)先信號被優(yōu)先 編碼器編碼后,再經(jīng)過譯碼顯示后,醫(yī)護(hù)人員處理完高級別信號,按下清零 鍵;怎么樣認(rèn)其他病人的信號按照優(yōu)先級別先后顯示?當(dāng)多信號同時(shí)呼叫時(shí), 對較低等級的呼叫信號不知道怎么處理,才能保證當(dāng)高級別的信號被清零后, 低級別的信號能及時(shí)的由系統(tǒng)自動(dòng)呼出,而不再需要人為的控制輸出的問題始 終沒有能找到合適有效的方法。還有就是如何實(shí)現(xiàn)在醫(yī)護(hù)人員已處理完畢當(dāng)前 呼叫的病人后,系統(tǒng)對當(dāng)前呼叫信號的清零以保證其他呼叫信號能夠及時(shí)的呼 出以便醫(yī)護(hù)人員能及時(shí)的對其他病人進(jìn)行救治,從而避免因系統(tǒng)對病人呼叫信 號的延遲導(dǎo)致病人不能及時(shí)接受護(hù)理而產(chǎn)生的嚴(yán)重后果。
7、特別是控制清零這個(gè) 問題苦惱了我很長的時(shí)間,后來才在我翻閱相關(guān)書籍和瀏覽相關(guān)時(shí)找到了解決 問題的辦法,才知道這些問題可以通過對鎖存器的合理使用來一一解決。這也 使我對學(xué)習(xí)的認(rèn)識有了更深入的了解,對理論聯(lián)系實(shí)際有了更加深刻的體會(huì)。參考文獻(xiàn)電子工業(yè) ,2002201020081 Mark Zwolinski.Digital System Design with VHDL.2 汪國強(qiáng).SOPC技術(shù)與應(yīng)用 .機(jī)械工業(yè), 20063 忠.實(shí)用數(shù)字電子技術(shù) .電子工業(yè), 19984 延飛. 數(shù)字電子技術(shù)實(shí)驗(yàn)與仿真 . 西北工業(yè)大學(xué),5 侯建軍,郭勇 .SOPC技術(shù)基礎(chǔ)教程 . 清華大學(xué),附錄 設(shè)計(jì)程序1:
8、非門 library ieee;use ieee.std_logic_1164.all;entity as_not isport(a:in std_logic;f:out std_logic);end as_not;architecture behave of as_not is beginf<=not a;end behave;2:2 輸入與非門library ieee;use ieee.std_logic_1164.all;entity as_nand2 isport(a:in std_logic;b:in std_logic;f:out std_logic);end as_nand
9、2;architecture behave of as_nand2 isbeginf<=a nand b;end behave;3:2 輸入與門文檔library ieee;use ieee.std_logic_1164.all;entity as_and2 isport(a:in std_logic;b:in std_logic;f:out std_logic);end as_and2;architecture behave of as_and2 isbeginf<=a AND b;end behave;4:優(yōu)先編碼器 74148library ieee;use ieee.std
10、_logic_1164.all;entity as_74148 isport(D:in std_logic_vector(7 downto 0);E1:in std_logic;Q:out std_logic_vector(2 downto 0);GS:out std_logic;E0:out std_logic);end as_74148;beginarchitecture rtl of as_74148 is文檔process(E1,D)beginif(e1='1') thenQ<="111"GS<='1'E0<=
11、9;1'elsif(D="11111111" and E1='0') thenQ<="111"GS<='1'E0<='0'elsif(D(7)='0' and E1='0')thenQ<="000"GS<='0'E0<='1'elsif(D(6)='0' and E1='0')thenQ<="001"GS<='
12、0'E0<='1'elsif(D(5)='0' and E1='0')thenQ<=""GS<='0'E0<='1'elsif(D(4)='0' and E1='0')then文檔Q<="011"GS<='0'E0<='1'elsif(D(3)='0' and E1='0')thenQ<="100"GS&l
13、t;='0'E0<='1'elsif(D(2)='0' and E1='0')thenQ<="101"GS<='0'E0<='1'elsif(D(1)='0' and E1='0')thenQ<="110"GS<='0'E0<='1'elsif(D(0)='0' and E1='0')thenQ<="111&
14、quot;GS<='0'E0<='1'elseQ<="111"E0<='0'GS<='1'文檔end if; end process;end rtl;5:7447 數(shù)碼顯示:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity as_7447 isport(num:in std_logic_vector(3 downto
15、 0); dout:out std_logic_vector(6 downto 0) );end as_7447;architecture a1 of as_7447 isbegin with num select dout<="1111110" when "0000","0110000" when "0001","1101101" when "0010","0110011" when "0100","1111001&q
16、uot; when "0011",文檔"1011011" when "0101","1011111" when "0110","1110000" when "0111","1111111" when "1000","1111011" when "1001","0000000" when others;end a1;6:D 觸發(fā)器library ieee;use ieee.std_logic_1164.all;entity as_d isport(D:in std_logic;CP:in std_logic;R:in st
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 變電站建設(shè)的市場需求分析
- 防汛應(yīng)急救援培訓(xùn)
- 小學(xué)田徑運(yùn)動(dòng)會(huì)通訊稿(12篇)
- 慶國慶主題活動(dòng)方案7篇
- 2023屆新高考新教材化學(xué)魯科版一輪學(xué)案-第2章第7講 氧化還原反應(yīng)方程式的配平、書寫及計(jì)算
- 借款房產(chǎn)合同范本
- 精裝修質(zhì)量管理培訓(xùn)
- 入住賓館合同范本
- 進(jìn)貨票據(jù)合同范本
- 商店合同范本
- 普通話水平測試培訓(xùn)課程研究
- (2024年)幼兒園營養(yǎng)膳食
- 大學(xué)生的自己的職業(yè)生涯規(guī)劃
- 好書分享《紅樓夢》
- Unit1ScienceandScientists大單元教學(xué)設(shè)計(jì)-高中英語人教版選擇性必修二冊
- 教育科學(xué)規(guī)劃課題申請書《基于生活化的幼兒數(shù)學(xué)教學(xué)活動(dòng)研究》
- 小班數(shù)學(xué)《認(rèn)識數(shù)字4》課件
- (高清版)DZT 0270-2014 地下水監(jiān)測井建設(shè)規(guī)范
- 腦梗死合并高血壓患者個(gè)案護(hù)理
- 2024年中國能源建設(shè)集團(tuán)國際工程有限公司招聘筆試參考題庫含答案解析
- 高職專業(yè)人才培養(yǎng)方案-會(huì)計(jì)專業(yè)人才培養(yǎng)方案
評論
0/150
提交評論