簡(jiǎn)易數(shù)字信號(hào)傳輸性能分析儀_第1頁(yè)
簡(jiǎn)易數(shù)字信號(hào)傳輸性能分析儀_第2頁(yè)
簡(jiǎn)易數(shù)字信號(hào)傳輸性能分析儀_第3頁(yè)
簡(jiǎn)易數(shù)字信號(hào)傳輸性能分析儀_第4頁(yè)
簡(jiǎn)易數(shù)字信號(hào)傳輸性能分析儀_第5頁(yè)
已閱讀5頁(yè),還剩22頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 簡(jiǎn)易數(shù)字信號(hào)傳輸性能分析儀本作品設(shè)計(jì)一個(gè)簡(jiǎn)易數(shù)字信號(hào)傳輸性能分析儀,通過對(duì)個(gè)個(gè)方案的論證,最終選擇兩片CPLD做為核心器件。首先通過單片機(jī)控制時(shí)鐘的分頻,使用可編程邏輯器件CPLD以方便的產(chǎn)生不同數(shù)據(jù)率的偽隨機(jī)信號(hào),同時(shí)用12864液晶顯示數(shù)據(jù)率及峰峰值。為了濾去整流輸出電壓中的紋波。通過二階巴特沃斯濾波器濾波同時(shí)產(chǎn)生高增益的濾波信號(hào)。然后疊加偽隨機(jī)信號(hào)用示波器顯示眼圖。對(duì)于產(chǎn)生的m序列是否采用曼徹斯特編碼,則使用開關(guān)控制單片機(jī)來選擇。通過數(shù)字分析電路與CPLD提取同步信號(hào),再次用示波器顯示眼圖。本系統(tǒng)結(jié)構(gòu)清晰,經(jīng)過測(cè)試基本完成題目要求。 關(guān)鍵詞:CPLD 偽隨機(jī)信號(hào) 巴特沃斯濾波器 同步信

2、號(hào) 眼圖AbstractThe work to design a simple digital signal transmission performance analyzer, through a program of demonstration, finally choice two CPLD devices as the core of system.The design of the first chip to control the clock by the frequency, the use of programmable logic device CPLD to easily

3、generate different pseudo-random signal data rate, and liquid crystal display with 12864 and the peak data rate. To filter the rectifier output voltage ripple. Through second-order Butterworth filter with the filter while producing high-gain signal. Then superimposed pseudo-random signal with an osc

4、illoscope display eye. For m-sequences are generated using Manchester coding is used to select single-chip switch control. Through statistical analysis to extract synchronization signals and CPLD circuits, once again shows the eye diagram with an oscilloscope.The system structure is clear, after the

5、 basic completion of the test question.Key words:CPLD Pseudo-random signal Butterworth filter Sync Eye diagram簡(jiǎn)易數(shù)字信號(hào)傳輸性能分析儀(E題)【本科組】1系統(tǒng)方案本系統(tǒng)主要由濾波模塊、數(shù)字信號(hào)(m序列)產(chǎn)生模塊、同步信號(hào)提取模塊、眼圖顯示方式組成。下面分別論證這幾個(gè)模塊的選擇。1.1低通濾波電路的論證與選擇方案一:采用切比雪夫?yàn)V波器。其采用的是切比雪夫傳遞函數(shù),也有高通、低通、帶通、高阻、帶阻等多種濾波器類型。切比雪夫?yàn)V波器的過渡帶很窄,但內(nèi)部的幅頻特性卻很不穩(wěn)定。方案二:采用一階巴

6、特沃斯濾波器。巴特沃斯濾波器的特點(diǎn)是通頻帶內(nèi)的頻率響應(yīng)曲線最大限度平坦,沒有起伏,而在阻頻帶則逐漸下降為零。一階巴特沃斯濾波器的衰減率為每倍頻6分貝,每十倍頻20分貝。幅頻特性效果不好,而且達(dá)不到題目要求。方案三:采用二階巴特沃斯濾波器。二階巴特沃斯濾波器的衰減率為每倍頻12分貝、 三階巴特沃斯濾波器的衰減率為每倍頻18分貝、如此類推。巴特沃斯濾波器的振幅對(duì)角頻率單調(diào)下降,并且也是唯一的無論階數(shù),振幅對(duì)角頻率曲線都保持同樣的形狀的濾波器。只不過濾波器階數(shù)越高,在阻頻帶振幅衰減速度越快。其他濾波器高階的振幅對(duì)角頻率圖和低級(jí)數(shù)的振幅對(duì)角頻率有不同的形狀。綜合以上三種方案,選擇方案三。1.2數(shù)字信號(hào)

7、(m序列)產(chǎn)生模塊的論證與選擇方案一:采用D觸發(fā)器74ls74產(chǎn)生。其特點(diǎn)是產(chǎn)生序列速度可以很快,但由于硬件電路固有的不便修改性,該方法僅僅對(duì)一些特殊的本原多項(xiàng)式有效。圖1 D觸發(fā)器產(chǎn)生m序列方案二:采用單片機(jī)產(chǎn)生。采用AT89S52來實(shí)現(xiàn)。該8位單片機(jī)有256B的內(nèi)部RAM和8KB的PROM 。m序列通過P0口輸出至外部設(shè)備。在m序列產(chǎn)生模塊子程序中,m序列發(fā)生器實(shí)際上是用其反饋移位寄存器(FSR,feedback shift register )。為了便于操作,該FSR應(yīng)該位于AT89S52的位地址空間。選擇片內(nèi)20H地址單元為其起始地址,其中定義單元的最低位為(即第n級(jí)寄存器),則FSR

8、的(即第一位寄存器)依據(jù)級(jí)數(shù)n的大小位于片內(nèi)20H2FH單元的某一位。該方法用單片機(jī)的數(shù)據(jù)查詢方式,取出相應(yīng)的存儲(chǔ)在EPROM中的某一固定級(jí)數(shù)n的偽碼信號(hào),簡(jiǎn)化的求解反饋函數(shù)值的計(jì)算,速度較快。但是不易精確產(chǎn)生波特率步進(jìn)可調(diào)的序列。方案三:采用CPLD產(chǎn)生。采用行為描述方式用VHDL語言對(duì)該邏輯進(jìn)行硬件描述。設(shè)計(jì)的系統(tǒng)具有體積小、重量輕、功耗小、速度快、價(jià)格低、可靠性高、設(shè)計(jì)周期短等優(yōu)點(diǎn)。為產(chǎn)生信號(hào)為的m序列,我們采用Altera公司的Quartus 軟件,使用硬件描述語言VHDL對(duì)CPLD進(jìn)行編程。綜合以上三種方案,選擇方案三。1.3 同步信號(hào)提取的論證與選擇方案一:數(shù)字鎖相環(huán)提取位同步信號(hào)

9、。由于數(shù)字鎖相環(huán)的輸入是經(jīng)過微分和全波整流后的信號(hào), 電路由碼型變換器、鑒相器、控制調(diào)節(jié)器組成。電路復(fù)雜。方案二:采用CPLD快速提取位同步信號(hào)。利用CPLD內(nèi)部豐富的資源來提取同步為信號(hào)。綜合考慮采用方案二。1.4 眼圖顯示方式的論證與選擇方案一:采用X通道觸發(fā)Y通道顯示。把時(shí)鐘信號(hào)接入X通道,m序列信號(hào)接入Y通道。在每個(gè)時(shí)鐘的上升沿使m序列信號(hào)發(fā)生跳變。方案二:采用外觸發(fā)方式顯示。將時(shí)鐘信號(hào)接入外觸發(fā)端,調(diào)節(jié)示波器觸發(fā)模式即可。綜合以上兩種方案,選擇方案二。2系統(tǒng)理論分析與計(jì)算2.1 低通濾波電路的分析與計(jì)算2.1.1 濾波分析經(jīng)典濾波的概念,是根據(jù)富立葉分析和變換提出的一個(gè)工程概念。根據(jù)

10、高等數(shù)學(xué)理論,任何一個(gè)滿足一定條件的信號(hào),都可以被看成是由無限個(gè)正弦波疊加而成。換句話說,就是工程信號(hào)是不同頻率的正弦波線性疊加而成的,組成信號(hào)的不同頻率的正弦波叫做信號(hào)的頻率成分或叫做諧波成分。只允許一定頻率范圍內(nèi)的信號(hào)成分正常通過,而阻止另一部分頻率成分通過的電路,叫做經(jīng)典濾波器。2.1.2 低通濾波電路的計(jì)算在分析有源濾波電路時(shí),一般都通過”拉氏變換”,將電壓與電流變換成“象函數(shù)“U(s)和I(s),因而電阻的R(s)=R,電容的(s)=1/sC,電感的(s)=sL,輸出量與輸入量之比稱為傳遞函數(shù),即 對(duì)于同相輸入二階低通濾波電路將電壓電阻值代入公式f=1/2,根據(jù)頻率100K,200K

11、,500K算出相應(yīng)的電阻和電容值。2.2 m序列產(chǎn)生的分析2.2.1 m序列由線性反饋移位寄存器產(chǎn)生的周期最長(zhǎng)的二進(jìn)制數(shù)字序列稱為最大長(zhǎng)度反饋移位寄存器序列,通常稱為m序列。2.2.2 m序列產(chǎn)生原理移位寄存器是由n個(gè)串接的雙態(tài)存儲(chǔ)器(寄存器)和一個(gè)移位時(shí)鐘發(fā)生器以及一個(gè)由模2加法器組成的反饋邏輯線路組成,每個(gè)雙態(tài)存儲(chǔ)器稱為移存器的級(jí),每一級(jí)只能有兩種不同狀態(tài)分別用0和1表示。移位時(shí)鐘到來時(shí)使每一級(jí)的存數(shù)(即狀態(tài))向下一級(jí)移動(dòng),成為下一級(jí)的新存數(shù)。圖2 線性反饋移位寄存器原理方框圖2.2.3 誤差的計(jì)算與分析由于采用的是20M晶振,要產(chǎn)生數(shù)據(jù)率為10100kpbs步進(jìn)10kpbs的信號(hào) 。分頻

12、倍數(shù)如表1所示。而對(duì)于10Mbps的偽隨機(jī)信號(hào) 則不需分頻。表1 信號(hào) 的分頻倍數(shù)及誤差值 數(shù)據(jù)率 Kbps 10 20 30 40 50 60 70 80 90 100 時(shí)鐘頻率 KHz 20 40 60 80 100 120 140 160 180 200 分頻倍數(shù) 500 250 167 125 100 83 71 62 56 50 誤差 % 0 0 0.6 0 0 0.36 0.6 0.5 0.8 0 對(duì)于30、60、70、80、90Kbps數(shù)據(jù)率的分頻時(shí)由于計(jì)數(shù)值的舍入會(huì)產(chǎn)生的誤差小于0.8%,符合題目要求。2.3 偽隨機(jī)序列產(chǎn)生的分析2.3.1 偽隨機(jī)信號(hào)偽隨機(jī)序列發(fā)生器的VHDL

13、實(shí)現(xiàn)。CLK為時(shí)鐘脈沖,RESET為清零信號(hào),OE為輸出使能端,當(dāng)RESET和OE都為高電平時(shí),序列跟隨著CLK的節(jié)拍一位一位的從DOUT端輸出。除此之外,該偽隨機(jī)序列發(fā)生器最大的特點(diǎn)在于,他能根據(jù)SEL端的選擇信號(hào)產(chǎn)生不同長(zhǎng)度的m序列?;灸軌驖M足各種情況對(duì)不同長(zhǎng)度偽隨機(jī)信號(hào)的需要。 根據(jù)偽隨機(jī)序列產(chǎn)生的原理,采用行為描述方式用VHDL語言對(duì)該邏輯進(jìn)行硬件描述。2.3.2 偽隨機(jī)信號(hào)產(chǎn)生原理圖3 偽隨機(jī)信號(hào)產(chǎn)生原理方框圖2.4 曼徹斯特編碼的分析曼徹斯特編碼(Manchester Encoding),也叫做相位編碼(PE),是一個(gè)同步時(shí)鐘編碼技術(shù),曼徹斯特編碼的解釋為:從低電平到高電平的轉(zhuǎn)換

14、表示 1,從高電平到低電平的轉(zhuǎn)換表示0。原理圖4所示。圖4 曼徹斯特編碼原理圖2.5 同步信號(hào)提取的分析2.5.1 同步信號(hào)提取方框圖圖5 同步信號(hào)提取方框圖2.5.2 同步信號(hào)提取原理分析從異步串行碼流中提取位同步時(shí)鐘信號(hào),設(shè)計(jì)思想的基本出發(fā)點(diǎn)是在外部數(shù)據(jù)流(code_in)的上升沿和本地時(shí)鐘(clk)上跳沿相比較無非是超前和滯后兩種情況,如圖5、6所示,從數(shù)據(jù)流上跳沿的角度來看,若將數(shù)據(jù)流code_in與本地時(shí)鐘clk進(jìn)行邏輯相與,若相與結(jié)果為“1”則說明數(shù)據(jù)流滯后于本地時(shí)鐘,若為“0”則說明數(shù)據(jù)流超前于本地時(shí)鐘。 圖6 數(shù)據(jù)流滯后于本地時(shí)鐘T圖7 數(shù)據(jù)流超前于本地時(shí)鐘T根據(jù)以上原理,本設(shè)

15、計(jì)中的鑒相器作用是鑒別出數(shù)據(jù)流和本地時(shí)鐘的相位超前滯后關(guān)系,控制計(jì)數(shù)器采用雙向計(jì)數(shù)器,鑒相器輸出q作為控制計(jì)數(shù)器的計(jì)數(shù)方向輸入,q為1則向上計(jì)數(shù),q為0則向下計(jì)數(shù)??刂朴?jì)數(shù)器的計(jì)數(shù)輸出用來控制相位調(diào)整選擇模塊的選擇端。 相位調(diào)整選擇模塊由相位調(diào)整和相位選擇功能。2.6 眼圖顯示的分析2.6.1 眼圖眼圖的成因:由于示波器的余輝作用,掃描所得的每一個(gè)碼元波形將重疊在一起,從而形成眼圖。眼圖是指利用實(shí)驗(yàn)的方法估計(jì)和改善(通過調(diào)整)傳輸系統(tǒng)性能時(shí)在示波器上觀察到的一種圖形。觀察眼圖的方法是:用一個(gè)示波器跨接在接收濾波器的輸出端,然后調(diào)整示波器掃描周期,使示波器水平掃描周期與接收碼元的周期同步,這時(shí)示

16、波器屏幕上看到的圖形像人的眼睛,故稱為眼圖。2.6.2 眼圖的分析從眼圖上可以觀察出碼間串?dāng)_和噪聲的影響,從而估計(jì)系統(tǒng)優(yōu)劣程度。另外也可以用此圖形對(duì)接收濾波器的特性加以調(diào)整,以減小碼間串?dāng)_和改善系統(tǒng)的傳輸性能接收信號(hào)的最佳取樣時(shí)間是縱向眼開度最大的時(shí)刻t1。理想情況下V2- V1=0,縱向眼開度為1。由橫向眼開度確定的時(shí)間寬度定義了不會(huì)由于碼間干擾產(chǎn)生誤碼的時(shí)間范圍t。眼開度受噪聲和碼間干擾的影響,從眼圖的張開度可以估計(jì)出碼間干擾的大小,判決時(shí)刻過門限失真量的大小以及定時(shí)抖動(dòng)等。圖8 3比特非歸零碼的8種組合圖9 8種組合同時(shí)疊加形成的眼圖圖10 簡(jiǎn)化的眼圖數(shù)字信號(hào)系統(tǒng)的幅度噪聲會(huì)使眼開度減小

17、,縱向眼開度的高度Ymax與最大信號(hào)電平V2定義了最大的幅度畸變。眼閉合度越大(縱向眼開度越?。f明正確判斷信號(hào)中“1”與“0”越困難。在最佳取樣時(shí)間t1處的眼開度的大小定義了系統(tǒng)的噪聲容限。噪聲容限= (2-1)取樣時(shí)間改變時(shí),眼圖邊線的斜率定義了系統(tǒng)時(shí)間誤差的靈敏度:當(dāng)斜率較小時(shí),時(shí)間誤差的概率增加。在光纖系統(tǒng)中由于接收機(jī)噪聲和光纖的脈沖畸變,會(huì)產(chǎn)生時(shí)間抖動(dòng)。如果取樣時(shí)間正好在信號(hào)電平與判斷閾值水平相交的時(shí)刻的中點(diǎn),則判斷閾值電平處失真量T表示了時(shí)間抖動(dòng)大小,用百分率表示為:定時(shí)抖動(dòng)= (2-2)式中Tb是一個(gè)比特的時(shí)間間隔。用示波器對(duì)偽隨機(jī)數(shù)字序列進(jìn)行觀察時(shí),示波器的掃描周期應(yīng)取為Tb

18、或Tb的整數(shù)倍,即掃描頻率取為1/Tb或1/NTb 。當(dāng)示波器掃描頻率和信號(hào)速率的比改變時(shí),并列的眼睛可以多些或少些。當(dāng)掃描周期為Tb時(shí),示波器的掃描圖形與一只人眼相似,當(dāng)掃描周期取為NTb時(shí),并列的眼睛為N個(gè)。3電路與程序設(shè)計(jì)3.1電路的設(shè)計(jì)3.1.1系統(tǒng)總體框圖系統(tǒng)總體框圖如圖5所示圖11 系統(tǒng)總體框圖NWX88993.1.2 m序列產(chǎn)生子系統(tǒng)框圖與電路原理圖1、m序列產(chǎn)生子系統(tǒng)框圖 圖12 m序列產(chǎn)生子系統(tǒng)框圖2、m序列產(chǎn)生子系統(tǒng)電路圖10 m序列產(chǎn)生子系統(tǒng)電路3.1.3 濾波子系統(tǒng)框圖與電路原理圖1、3路濾波子系統(tǒng)電路圖11 濾波子系統(tǒng)電路3.1.4 幅值調(diào)節(jié)子系統(tǒng)電路圖9 100mV

19、幅值調(diào)節(jié)子系統(tǒng)電路3.1.5 加法器子系統(tǒng)電路圖10 加法器子系統(tǒng)電路3.1.6 濾噪子系統(tǒng)電路圖11 濾噪子系統(tǒng)電路3.2程序的設(shè)計(jì)3.2.1程序功能描述與設(shè)計(jì)思路1、程序功能描述根據(jù)題目要求軟件部分主要實(shí)現(xiàn)產(chǎn)生步進(jìn)可調(diào)的m序列(包括采用曼徹斯特編碼)。2、程序設(shè)計(jì)思路1)通過開關(guān)控制單片機(jī)選擇是否采用曼徹斯特編碼。2)通過按鈕控制單片機(jī)產(chǎn)生不同波特率的m序列,并用12864同步顯示。3.2.2程序流程圖1、主程序流程圖圖12 主程序流程2、數(shù)字分析電路流程圖圖13 數(shù)字分析電路流程圖4測(cè)試方案與測(cè)試結(jié)果4.1測(cè)試方案1、軟件仿真測(cè)試3、硬件軟件聯(lián)調(diào)經(jīng)檢查測(cè)試硬件電路完整,聯(lián)調(diào)成功。4.2

20、測(cè)試條件與儀器測(cè)試條件:檢查多次,仿真電路和硬件電路必須與系統(tǒng)原理圖完全相同,并且檢查無誤,硬件電路保證無虛焊。測(cè)試儀器:高精度數(shù)字示波器,數(shù)字萬用表。4.3 測(cè)試結(jié)果及分析4.3.1測(cè)試結(jié)果(數(shù)據(jù))表2:測(cè)得三個(gè)濾波器的截止頻率f誤差 輸入頻率(KHz) 10 80 90 100 110 130 180 200 輸出幅度(V) 1.00 0.92 0.82 0.75 0.55 0.5 0.45 0.43 誤差分析:=0.707f0觀察上述表格可知,輸入截止頻率在95K處其輸出幅度也是0.707V,故其截止頻率誤差為=5符合題目中絕對(duì)誤差不大于10的要求。同理可測(cè)得,截止頻率位200K,500

21、K使得絕對(duì)誤差均符合題目的要求。附錄1:電路原理圖附錄2:源程序1. 主控制系統(tǒng)程序#include #include sbit sj=P10;sbit man=P11;sbit mman=P12;sbit rs=P14;sbit rw=P15;sbit e=P16;#define uchar unsigned char#define uint unsigned intuchar code addr_tab=0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,/第一行漢字位 置0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,/第二行漢

22、字位置0x88,0x89,0x8a,0x8b,0x8c,0x8d,0x8e,0x8f,/第三行漢字位置0x98,0x99,0x9a,0x9b,0x9c,0x9d,0x9e,0x9f,/第四行漢字位置;uint csj;uint cmman;uchar code shuju1010=: 10kbps,: 20kbps,: 30kbps,: 40kbps,: 50kbps,: 60kbps,: 70kbps,: 80kbps,: 90kbps,:100kbps, ;/uchar code jzplc310=: 100khz,: 200khz,: 500khz ;/延時(shí)約2usvoid delayu

23、s()_nop_();_nop_();/延時(shí) a * 1msvoid delayms(uint a)uint i, j;for(i = a; i 0; i-)for(j = 100; j 0; j-);void write_12864com(uchar com)rs=0;rw=0;e=0;delayus();P0=com;delayus();delayus();e=1;delayus();delayus(); e=0;void write_12864dat(uchar dat)rs=1;rw=0;e=0;delayus();P0=dat;delayus();delayus();e=1;dela

24、yus(); delayus(); e=0; void display(uchar x,uchar y,uchar *s) write_12864com(addr_tab8*x+y);while(*s 0) write_12864dat(*s); /寫數(shù)據(jù)s+; void init(void) write_12864com(0x38); delayms(10);write_12864com(0x0c); delayms(10);write_12864com(0x06); delayms(10);write_12864com(0x01); delayms(10);void scanf()if(s

25、j=0)delayms(50);if(sj=0)if(csj8)csj=0;elsecsj+;while(!sj);delayms(50);while(!sj);if(man=0)delayms(50);if(man=0) if(mman=0)mman=1;elsemman=0;while(!man);delayms(50);while(!man); /*if(dj=0)delayms(50);if(dj=0)if(cdj9)cdj=1;elsecdj+;while(!dj);delayms(50);while(!dj); */void main() csj=0;P2=0x00;/ cjzpl

26、=0;mman=0;init();display(0,2,E 分析儀);display(1,0,數(shù)據(jù)率);display(2,0,峰峰值);/display(3,0,截止頻率);while(1)scanf();display(1,4,*shuju+10*csj);/display(3,4,*jzplc+10*cjzpl);if(mman)display(3,0, Manchester);elsedisplay(3,0,非manchester);P2=0x00+csj+1;2. 數(shù)字信號(hào)產(chǎn)生程序/mcu_interface/library IEEE;use IEEE.Std_logic_1164.all;entity mcu_interface is port(mcu_data : in std_logic_vector(7 downto 0); wr : in std_logic;count : out integer range 0 to 511);end mcu_interface ;architecture mcu_interf

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論