版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
MOOC數(shù)字電路分析與設(shè)計(jì)實(shí)驗(yàn)-浙江大學(xué)中國大學(xué)慕課答案第一講單元測驗(yàn)1、問題:用帶寬100MHZ的示波器,將垂直偏轉(zhuǎn)因素置于100Mv/div,測量輸出為1V峰峰的100MHZ穩(wěn)幅正玄信號源的波形,其熒光屏上的垂直高度應(yīng)顯示為(????)V;如果信號源的波形輸出幅度為0.1V有效值,則示波器屏幕垂直高度顯示(???)div。(注:示波器與信號源均為匹配狀態(tài))某帶寬為100MHz的示波器,垂直通道靈敏度為100mv/div,當(dāng)測量1V峰峰值的100MHz標(biāo)準(zhǔn)正弦波時(shí),示波器上的垂直高度應(yīng)顯示為?選項(xiàng):A、1.4VB、1VC、0.7VD、0.5V正確答案:【0.7V】2、問題:示波器使用時(shí),如果被觀察信號為含有直流分量的正弦波,應(yīng)當(dāng)選擇什么耦合方式?選項(xiàng):A、直流B、交流C、接地D、直流耦合或者交流耦合都可以正確答案:【直流】3、問題:TTL系列數(shù)字集成電路的供電電源可選擇選項(xiàng):A、+5VB、+-5VC、+12VD、+-12V正確答案:【+5V】4、問題:波形的上升時(shí)間定義為選項(xiàng):A、從0到穩(wěn)態(tài)值的70.7%所需的時(shí)間B、從穩(wěn)態(tài)值的10%上升到穩(wěn)態(tài)值90%所需的時(shí)間C、從0到穩(wěn)態(tài)值所需的時(shí)間D、從0到穩(wěn)態(tài)值的70.7%所需的時(shí)間E、從0上升到穩(wěn)態(tài)值90%所需的時(shí)間正確答案:【從穩(wěn)態(tài)值的10%上升到穩(wěn)態(tài)值90%所需的時(shí)間】5、問題:雙通道示波器在同時(shí)觀察兩路信號時(shí),下列說法正確的是:選項(xiàng):A、雙通道示波器觀察的是電位差,兩個(gè)探頭的接地端都必須可靠連接。B、由于兩個(gè)探頭的接地端都和示波器內(nèi)部的參考電位相連,故無需再和測量信號的參考電位連接。C、由于兩個(gè)探頭的接地端都和示波器內(nèi)部的參考電位相連,故只需將其中一個(gè)探頭的參考端與被測信號的參考電位相連接即可。D、示波器的兩個(gè)測量通道是彼此獨(dú)立的,因此可以測量任意兩路電壓信號。正確答案:【由于兩個(gè)探頭的接地端都和示波器內(nèi)部的參考電位相連,故只需將其中一個(gè)探頭的參考端與被測信號的參考電位相連接即可?!?、問題:集成電路型號“SN74LS00N”與“DM74LS00N”的區(qū)別在于選項(xiàng):A、生產(chǎn)廠家不同B、功能不同C、封裝形式不同D、TTL與CMOS的區(qū)別正確答案:【生產(chǎn)廠家不同】7、問題:若“非”門由74LS00與非門改接而成,則多余輸入端接法錯(cuò)誤的是選項(xiàng):A、與輸入端并聯(lián)B、接電源C、接地D、懸空正確答案:【接地】第二講單元作業(yè)第二講單元測驗(yàn)1、問題:用Quartus軟件進(jìn)行設(shè)計(jì)時(shí),新建項(xiàng)目的后綴名是選項(xiàng):A、.vwfB、.bdfC、.qpfD、.vhd正確答案:【.qpf】2、問題:用Quartus軟件進(jìn)行設(shè)計(jì)時(shí),波形分析文件的后綴名是選項(xiàng):A、.schB、.qpfC、.bdfD、.vwf正確答案:【.vwf】3、問題:用Quartus軟件進(jìn)行設(shè)計(jì)時(shí),新建原理圖文件的后綴名是選項(xiàng):A、.vhdB、.qpfC、.bdfD、.sch正確答案:【.bdf】4、問題:用Quartus軟件進(jìn)行設(shè)計(jì)時(shí),新建VHDL文件的后綴名是選項(xiàng):A、.vhdB、.qpfC、.bdfD、.vwf正確答案:【.vhd】5、問題:關(guān)于VHDL標(biāo)識符的表述正確的是選項(xiàng):A、vhdB、1qpfC、b-dfD、v_wf正確答案:【v_wf】第三講單元作業(yè)第三講單元測驗(yàn)1、問題:測試全加器電路功能時(shí),輸入端信號應(yīng)使用實(shí)驗(yàn)箱上的選項(xiàng):A、數(shù)據(jù)開關(guān)B、邏輯開關(guān)C、發(fā)光二極管D、三態(tài)邏輯指示正確答案:【數(shù)據(jù)開關(guān)】2、問題:當(dāng)電路調(diào)試出現(xiàn)故障時(shí),常使用()逐級查找故障點(diǎn)選項(xiàng):A、三態(tài)邏輯指示B、邏輯開關(guān)C、數(shù)據(jù)開關(guān)D、1Hz基準(zhǔn)頻率正確答案:【三態(tài)邏輯指示】3、問題:用Quartus軟件進(jìn)行設(shè)計(jì)時(shí),可下載到FPGA器件的后綴名是選項(xiàng):A、.sofB、.qpfC、.bdfD、.vwf正確答案:【.sof】4、問題:已知下述語句在完整的程序中,語法上正確的是選項(xiàng):A、LIBRARYieee;B、USEieee.std-logic-1164.ALL;C、USEieee.std_logic_1164.ALL,D、result=0a+b+c;正確答案:【LIBRARYieee;】5、問題:用Quartus軟件進(jìn)行設(shè)計(jì)時(shí),用原理圖文件設(shè)計(jì)下述描述正確的是選項(xiàng):A、原理圖文件名可與原理圖內(nèi)器件名相同B、一位二進(jìn)制的端口用BUS連接C、端口名字不可以與器件是根據(jù)名字相同D、原理圖修改保存后必須重新編譯正確答案:【原理圖修改保存后必須重新編譯】6、問題:對于DE10-Lite開發(fā)板上FPGA芯片的描述正確的是選項(xiàng):A、對于該芯片在Quartus(QuartusPrime17.1)LiteEdition軟件平臺(tái)上可以實(shí)現(xiàn)時(shí)序仿真B、芯片的型號為10M50DAF484CIGC、芯片的型號為10M08DAF484CIGD、Unusedpins最好選擇Asinputtri_stated正確答案:【Unusedpins最好選擇Asinputtri_stated】7、問題:對于完整的一位全加器VHDL程序,描述正確的是選項(xiàng):A、如果port內(nèi)的管腳定義為STD_LOGIC,則庫和程序包可以省略B、ENTITYadderISPORT(A,B,Ci_1:INSTD_LOGIC;Ci,Si:OUTSTD_LOGIC;);ENDadder;C、ARCHITECTURE1fxcOFadderISBEGINSi=(notAandnotBandCi_1)or(notAandBandnotCi_1)or(AandnotBandnotCi_1)or(AandBandCi_1);Ci=(AandB)or(BandCi_1)or(Ci_1andA);END1fxc;D、實(shí)體名和結(jié)構(gòu)體名都必須是標(biāo)識符正確答案:【實(shí)體名和結(jié)構(gòu)體名都必須是標(biāo)識符】8、問題:在74LS55中,當(dāng)某組“與”內(nèi)有部分管腳多余時(shí),應(yīng)如何處理選項(xiàng):A、接高電平B、接地C、懸空D、與有用端子并聯(lián)使用E、接電源正確答案:【接高電平#與有用端子并聯(lián)使用#接電源】第四講單元作業(yè)第四講單元測驗(yàn)1、問題:用Quartus軟件進(jìn)行設(shè)計(jì)4位串行進(jìn)位二進(jìn)制全加器時(shí),下述描述正確的是選項(xiàng):A、底層的一位全加器必須用VHDL描述B、I/OOnBlock的端口命名和signalsinNode命名不以相同C、若“a:INSTD_LOGIC_VECTOR(3Downto0);”,在轉(zhuǎn)換為symbol時(shí),必須用OrthogonalBusTool連接D、4位串行進(jìn)位二進(jìn)制全加器只能用二進(jìn)制輸出來仿真顯示正確答案:【若“a:INSTD_LOGIC_VECTOR(3Downto0);”,在轉(zhuǎn)換為symbol時(shí),必須用OrthogonalBusTool連接】2、問題:已知下述語句在完整的程序中,語法上正確的是選項(xiàng):A、withtmpSelectC='0'when'11','1'whenothers;B、c='0'WHENA='1'ANDB='1'ELSE'1';C、IFA='1'ANDB='1'THENC='0',ELSEC='1';ENDIF;D、上述語句都必須在Process內(nèi)正確答案:【c='0'WHENA='1'ANDB='1'ELSE'1';】3、問題:對于DE10-Lite開發(fā)板上數(shù)碼管的描述正確的是選項(xiàng):A、為共陰數(shù)碼管B、6個(gè)數(shù)碼管為動(dòng)態(tài)顯示C、為了是數(shù)碼管顯示0,必須WHEN0000=S=0000001;定義D、上述都不準(zhǔn)確正確答案:【上述都不準(zhǔn)確】4、問題:同學(xué)設(shè)計(jì)的VHDL部分源碼如下,為了實(shí)現(xiàn)8位數(shù)奇偶判斷電路,試下述功能描述正確的是:Architectureaofxor8aaisSignalz1,z2:std_logic;Componentxor4cPort(a:INSTD_LOGIC_VECTOR(3Downto0);z:outstd_logic);endcomponent;Begin選項(xiàng):A、U1:xor4cPortmap(a3=a,a2=b,a1=c,a0=d,z=z1);U2:xor4cPortmap(a3=e,a2=f,a1=g,a0=h,z=z2);z=z1xorz2;B、U1:xor4cPortmap(a(3)=a,a(2)=b,a(1)=c,a(0)=d,z=z1);U2:xor4cPortmap(a(3)=e,a(2)=f,a(1)=g,a(0)=h,z=z2);z=z1xorz2;C、U1:xor4cPortmap(a,b,c,d,z1);U2:xor4cPortmap(e,f,g,h,z2);z=z1xorz2;D、上述都不準(zhǔn)確正確答案:【U1:xor4cPortmap(a,b,c,d,z1);U2:xor4cPortmap(e,f,g,h,z2);z=z1xorz2;】第五講單元作業(yè)第五講單元測驗(yàn)1、問題:某同學(xué)設(shè)計(jì)的VHDL部分源碼如下,試問下述描述正確的是PROCESS(clk,clr,tmp)BEGINIFclr='1'THENtmp=0000;ELSIF(clk'EVENTANDclk='1')THENIFena='1'THENIF(tmp=1000)THENtmp=0000;ELSEtmp=tmp+'1';ENDIF;ENDIF;ENDIF;q=tmp;ENDPROCESS;選項(xiàng):A、tmp需定義為signaltmp:STD_LOGIC_VECTOR(3downto0);B、實(shí)現(xiàn)10進(jìn)制計(jì)數(shù)C、clr同步清零D、ena異步使能正確答案:【tmp需定義為signaltmp:STD_LOGIC_VECTOR(3downto0);】2、問題:某同學(xué)設(shè)計(jì)的VHDL部分源碼如下,試問下述描述正確的是【b】P1:process(clk_in)variablecnt:naturalrange0to19;beginifrising_edge(clk_in)thenifcnt=div_numthencnt:=0;elsifcnt=div_num/2thenclk_out='0';cnt:=cnt+1;elseclk_out='1';cnt:=cnt+1;endif;endif;endprocessP1;選項(xiàng):A、以上都不準(zhǔn)確B、實(shí)現(xiàn)10分頻功能C、div_num在port內(nèi)定義為inD、rising_edge(clk_in)表示下邊沿正確答案:【div_num在port內(nèi)定義為in】3、問題:下述描述不正確的是選項(xiàng):A、常量是全局量,是在設(shè)計(jì)描述中保持某一規(guī)定類型的特定值不變的量B、信號用“=”賦值,而變量用“:=”賦值。C、變量是一個(gè)實(shí)際信號D、信號是一種全局量,它主要用于實(shí)體、結(jié)構(gòu)體或程序包之間的信息交流正確答案:【變量是一個(gè)實(shí)際信號】4、問題:針對該程序下述描述正確的是PROCESS(clk,rst)BEGINIF(rst='0')THENq=0000;ELSIF(clk'eventANDclk='1')THENq=q-1;ENDIF;ENDPROCESS;選項(xiàng):A、上述都不準(zhǔn)確B、clk'eventANDclk='1'表示下降沿C、clk上升沿計(jì)數(shù)加1D、rst為0時(shí)實(shí)現(xiàn)異步清零正確答案:【rst為0時(shí)實(shí)現(xiàn)異步清零】第六講單元作業(yè)第六講單元測驗(yàn)1、問題:針對該程序段下述描述正確的是ARCHITECTUREBehavioralOFD_VHDLISsignalTMP:STD_LOGIC;BEGINPROCESS(clr,ena,clk,d_in)BEGINIFclr='0'THENTMP='0';ELSIFena='0'THENTMP='1';ELSIF(clk'EVENTANDclk='1')THENTMP=d_in;ENDIF;ENDPROCESS;q=TMP;nq=notTMP;ENDBehavioral;選項(xiàng):A、上述都不對B、同步清零異步使能C、異步清零同步使能D、用進(jìn)程描述具有清零功能、上升沿觸發(fā)的D觸發(fā)器正確答案:【用進(jìn)程描述具有清零功能、上升沿觸發(fā)的D觸發(fā)器】2、問題:下列哪條語句必須在process內(nèi)部選項(xiàng):A、q=c+d;B、CASEdinISWHEN00=dout=00;WHEN01=dout=01;WHEN10=dout=01;WHEN11=dout=10;ENDCASE;C、WITHsSELECTy=a0WHEN“00”,alWHEN“01”,a2WHEN“10”,a3WHENOTHERS;D、y=a0WHENs=“00”ELSEa1WHENs=“01”ELSEa2WHENs=“10”ELSEa3;正確答案:【CASEdinISWHEN00=dout=00;WHEN01=dout=01;WHEN10=dout=01;WHEN11=dout=10;ENDCASE;】3、問題:針對該程序段下述描述正確的是architectureBehavioralofclk1hzisconstantm:integer:=3;signaltmp:std_logic;beginprocess(clk,tmp)variablecout:integer:=0;beginifrising_edge(clk)thencout:=cout+1;ifcout=mthentmp='0';elsifcoutm*2thentmp='1';elsecout:=0;endif;endif;endprocess;clock1hz=tmp;endBehavioral;選項(xiàng):A、變量值可以傳遞給信號,而信號值不能傳遞給變量B、該程序段實(shí)現(xiàn)3分頻功能C、variablecout:integer:=0;可以在任何地方定義D、constantm:integer:=3只能在結(jié)構(gòu)體中定義正確答案:【變量值可以傳遞給信號,而信號值不能傳遞給變量】4、問題:74107JK型觸發(fā)器的觸發(fā)方式是選項(xiàng):A、高電平觸發(fā)B、低電平觸發(fā)C、上升沿觸發(fā)D、下降沿觸發(fā)正確答案:【下降沿觸發(fā)】5、問題:7474D型觸發(fā)器的清零方式是選項(xiàng):A、高電平清零B、低電平清零C、上升沿清零D、下降沿清零正確答案:【低電平清零】6、問題:74LS107在實(shí)驗(yàn)中未用到K端和選項(xiàng):端時(shí)A、K端和端都應(yīng)該懸空B、K端最好接電源,C、K端可以懸空,端可以懸空端最好接電源D、K端和端都不能懸空正確答案:【K端可以懸空,端最好接電源】7、問題:圖中所示的波形,是電路圖()的工作波形選項(xiàng):A、圖中B、圖中C、圖中D、圖中正確答案:【圖中】8、問題:圖中所示的波形,是電路圖()的工作波形選項(xiàng):A、圖中圖中圖中圖中B、C、D、正確答案:【圖中】第七講單元測驗(yàn)1、問題:三相脈沖實(shí)驗(yàn)中當(dāng)出現(xiàn)000和111狀態(tài)時(shí),為了將效狀態(tài),則下列哪個(gè)描述是正確的選項(xiàng):置成100有A、B、,,C、,D、,正確答案:【,】2、問題:設(shè)計(jì)一個(gè)6進(jìn)制計(jì)數(shù)器,需要幾片74LS74?選項(xiàng):A、1B、2C、3D、4正確答案:【2】3、問題:設(shè)計(jì)一個(gè)12進(jìn)制計(jì)數(shù)器,需要幾片74LS107?選項(xiàng):A、1B、2C、3D、4正確答案:【2】4、問題:三相脈沖實(shí)驗(yàn)中,每相的導(dǎo)通角是選項(xiàng):A、B、C、D、正確答案:【】第八講單元作業(yè)第八講單元測驗(yàn)1、問題:用74LS161連接10進(jìn)制計(jì)數(shù)器時(shí),多余輸入端選項(xiàng):A、只能接電源或高電平B、只能接地C、可以懸空D、以上都不對正確答案:【可以懸空】2、問題:74161計(jì)數(shù)器的清零方式是選項(xiàng):A、同步B、異步C、可自定義為同步或異步D、以上都不對正確答案:【異步】3、問題:74161計(jì)數(shù)器的置數(shù)方式是選項(xiàng):A、同步B、異步C、可自定義為同步或異步D、以上都不對正確答案:【同步】4、問題:74161計(jì)數(shù)器的控制(CTT、CTP)端的描述正確的是選項(xiàng):A、同步控制B、異步控制C、低電平時(shí)計(jì)數(shù)保持D、以上都不對正確答案:【低電平時(shí)計(jì)數(shù)保持】第九講單元作業(yè)選擇題1、問題:示波器使用時(shí),為了觀察直流電壓上的紋波,應(yīng)當(dāng)選擇什么耦合方式?選項(xiàng):A、ACB、DCC、AC或者DCD、接地正確答案:【AC】2、問題:若“非”門由74LS00與非門改接而成,則多余輸入端接法錯(cuò)誤的是選項(xiàng):A、與輸入端并聯(lián)B、接電源C、接地D、懸空正確答案:【接地】3、問題:用Quartus軟件進(jìn)行設(shè)計(jì)時(shí),新建VHDL文件的后綴名是選項(xiàng):A、.vhdB、.qpfC、.bdfD、.vwf正確答案:【.vhd】4、問題:關(guān)于VHDL標(biāo)識符的表述正確的是選項(xiàng):A、abc_B、a_b_cC、2_pD、c.p正確答案:【a_b_c】5、問題:在74LS55中,當(dāng)某組“與”不用時(shí),多余管腳應(yīng)如何處理選項(xiàng):A、接高電平B、接地C、懸空D、與有用端子并聯(lián)正確答案:【接高電平】6、問題:測試全加器電路功能時(shí),輸出端應(yīng)接至實(shí)驗(yàn)箱上的選項(xiàng):A、數(shù)據(jù)開關(guān)B、邏輯開關(guān)C、發(fā)光二極管D、1Hz基準(zhǔn)頻率正確答案:【發(fā)光二極管】7、問題:對于DE10-Lite開發(fā)板上FPGA芯片的描述正確的是選項(xiàng):A、對于該芯片在Quartus(QuartusPrime17.1)LiteEdition軟件平臺(tái)上可以實(shí)現(xiàn)時(shí)序仿真B、芯片的型號為10M50DAF484CIGC、芯片的型號為10M08DAF484CIGD、Unusedpins最好選擇Asinputtri_stated正確答案:【Unusedpins最好選擇Asinputtri_stated】8、問題:下述描述正確的是選項(xiàng):A、a:=b+c;說明是變量賦值可以在process外B、a=b+c;說明是信號賦值只能在process外C、有時(shí)鐘信號clk,則clk’eventANDclk=’1’表示時(shí)鐘的上升沿D、上述都不準(zhǔn)確正確答案:【有時(shí)鐘信號clk,則clk’eventANDclk=’1’表示時(shí)鐘的上升沿】9、問題:若K=0時(shí)Z=A,K=1時(shí)Z=B,下列哪個(gè)語法描述有誤選項(xiàng):A、processbeginifK='0'thenZ=A;elseZ=B;endif;endprocess;B、WithKselectZ=Awhen'0',Bwhen'1','X'whenothers;C、Z=AwhenK='0'elseBwhenK='1';D、Z=(notKandA)or(KandB);正確答案:【processbeginifK='0'thenZ=A;elseZ=B;endif;endprocess;】10、問題:74107JK型觸發(fā)器的清零方式是選項(xiàng):A、高電平清零B、低電平清零C、上升沿清零D、下降沿清零正確答案:【低電平清零】11、問題:7474D型觸發(fā)器的置數(shù)方式是選項(xiàng):A、高電平置數(shù)B、低電平置數(shù)C、上升沿置數(shù)D、下降沿置數(shù)正確答案:【低電平置數(shù)】12、問題:7474D型觸發(fā)器的觸發(fā)方式是選項(xiàng):A、高電平觸發(fā)B、低電平觸發(fā)C、上升沿觸發(fā)D、下降沿觸發(fā)正確答案:【上升沿觸發(fā)】13、問題:下述描述正確的是選項(xiàng):A、falling_edge(clock1hz)表示上升沿B、rising_edge(clock1hz)表示上升沿C、CLK'eventandCLK='0'表示上升沿D、CLK'eventandCLK='1'表示下降沿正確答案:【rising_edge(clock1hz)表示上升沿】14、問題:某同學(xué)設(shè)計(jì)的VHDL部分源碼如下,試問下述描述正確的是-----------------------
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- GB/T 23025-2024信息化和工業(yè)化融合管理體系生產(chǎn)設(shè)備運(yùn)行管控信息模型分類與應(yīng)用指南
- 電工電子技術(shù)(第3版) 課件 2.1 正弦交流電的基本特征
- 項(xiàng)目投標(biāo)與招標(biāo)管理規(guī)范制度
- 總承包公司永臨結(jié)合做法選用圖冊
- 維修員工工作總結(jié)
- 心理健康教育直播課心得體會(huì)范文(30篇)
- 物業(yè)管理制度15篇
- 中國著名書法家簡介
- 【培訓(xùn)課件】節(jié)約里程法
- 傾斜角與斜率課件
- 2023年1月內(nèi)蒙古自治區(qū)普通高中學(xué)業(yè)水平考試數(shù)學(xué)試題
- 手術(shù)講解模板臀位外倒轉(zhuǎn)術(shù)
- 訂單評審記錄表
- 《鳳凰大視野》經(jīng)典人文紀(jì)錄片合集
- Q∕SY 201.2-2015 油氣管道監(jiān)控與數(shù)據(jù)采集系統(tǒng)通用技術(shù)規(guī)范 第2部分:系統(tǒng)安全
- 精神科出科考試試題及答案
- 外研版四年級上冊英語(全冊)單元教材分析
- 網(wǎng)絡(luò)安全等級保護(hù)之信息系統(tǒng)定級備案工作方案
- 《易碎品包裝》
- DB36T 1295.1-2020 鎢冶煉固體廢物利用處置技術(shù)指南 第1部分水泥窯協(xié)同處置
- 畢業(yè)設(shè)計(jì)(論文)-基于AT89C52單片機(jī)的液晶顯示的數(shù)字鐘的設(shè)計(jì)與實(shí)現(xiàn)
評論
0/150
提交評論