版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、EDA技術(shù)課程設(shè)計(jì)報(bào)告題 目: 數(shù)字時(shí)鐘設(shè)計(jì) 班 級(jí): 電信本2 學(xué) 號(hào): 1203010220 姓 名: 王正提 同組人員: 高翔 唐吉鑫 指導(dǎo)教師: 楊祖芳 2015年 5月14日目錄一、設(shè)計(jì)任務(wù)1二、總體設(shè)計(jì)方案11.設(shè)計(jì)思想12.總體設(shè)計(jì)框圖1三、單元電路設(shè)計(jì)11.秒計(jì)數(shù)器模塊設(shè)計(jì)與實(shí)現(xiàn)12.分計(jì)數(shù)器模塊設(shè)計(jì)與實(shí)現(xiàn)13.時(shí)計(jì)數(shù)器模塊設(shè)計(jì)與實(shí)現(xiàn)14.去抖模塊55.頂層原理設(shè)計(jì)圖5四、系統(tǒng)調(diào)試與性能分析51.硬件測(cè)試:62.測(cè)試過(guò)程及結(jié)果分析6五、收獲與體會(huì)6六、指導(dǎo)老師意見(jiàn)6七、參考書(shū)目6八、附錄:73一、 設(shè)計(jì)任務(wù)設(shè)計(jì)并實(shí)現(xiàn)具有一定功能的數(shù)字鐘。包括清零、置數(shù)、計(jì)數(shù)、報(bào)時(shí)等功能。1)
2、具有時(shí)、分、秒計(jì)數(shù)顯示功能,且以24小時(shí)循環(huán)計(jì)時(shí)。2) 具有清零的功能,且能夠?qū)τ?jì)時(shí)系統(tǒng)的小時(shí)、分鐘進(jìn)行調(diào)整。3) 具有整點(diǎn)報(bào)時(shí)功能。二、 總體設(shè)計(jì)方案1. 設(shè)計(jì)思想 本設(shè)計(jì)是基于Altera公司的Cyclone III 系列的EP3C16Q240C8芯片設(shè)計(jì)的,采用層次化設(shè)計(jì)方式,先設(shè)計(jì)數(shù)字時(shí)鐘的底層器件:秒計(jì)數(shù)器、分計(jì)數(shù)器、時(shí)計(jì)數(shù)器、2選1選擇器、譯碼器、分頻器。頂層采用原理圖設(shè)計(jì)方式,將所設(shè)計(jì)的底層器件連接起來(lái)構(gòu)成一個(gè)具有計(jì)時(shí)和調(diào)時(shí)功能的數(shù)字時(shí)鐘。2. 總體設(shè)計(jì)框圖 本系統(tǒng)可以由計(jì)數(shù)模塊、整點(diǎn)報(bào)時(shí)模塊、鬧鈴模塊、顯示模塊和一個(gè)頂層文件構(gòu)成。采用自頂向下的設(shè)計(jì)方法,子模塊利用VHDL語(yǔ)言設(shè)
3、計(jì),頂層文件用原理圖的設(shè)計(jì)方法。LED數(shù)碼管時(shí)鐘信號(hào)分頻譯碼器秒計(jì)數(shù)2選1選擇分計(jì)數(shù)按 鍵時(shí)計(jì)數(shù) 圖2-1設(shè)計(jì)原理圖三、 單元電路設(shè)計(jì)1. 秒計(jì)數(shù)器模塊設(shè)計(jì)與實(shí)現(xiàn)a) 秒計(jì)數(shù)器流程圖秒脈沖60計(jì)數(shù)器滿60清零 Y N向分進(jìn)位秒計(jì)數(shù)輸出 圖3-1秒計(jì)算器流程圖b) 秒計(jì)數(shù)器生成模塊其中,clk是時(shí)鐘信號(hào),daout是60計(jì)數(shù)輸出,enmin是向分進(jìn)位的高電平。c) 波形仿真圖波形分析:由波形圖知秒計(jì)數(shù)器是由60進(jìn)制計(jì)數(shù)器完成的00到59的循環(huán)計(jì)數(shù)功能,當(dāng)計(jì)數(shù)到59時(shí),再來(lái)一個(gè)計(jì)數(shù)脈沖則產(chǎn)生進(jìn)位輸出,即enmin=1,作為分計(jì)數(shù)器的計(jì)數(shù)脈沖。2. 分計(jì)數(shù)器模塊設(shè)計(jì)與實(shí)現(xiàn)a) 分計(jì)數(shù)器流程圖調(diào)分按鍵
4、按下 N Y進(jìn)位高電平60計(jì)數(shù)器清零滿60 Y N向時(shí)進(jìn)位分計(jì)數(shù)輸出 圖3-2分計(jì)算器流程圖b) 分計(jì)數(shù)器生成模塊其中,clk是時(shí)鐘信號(hào),daout是60計(jì)數(shù)輸出,enhour是向時(shí)進(jìn)位的高電平。c) 波形仿真圖波形分析:由波形圖可知,該模塊實(shí)現(xiàn)了分計(jì)數(shù)的功能,計(jì)數(shù)循環(huán)從00到59,計(jì)數(shù)脈沖為秒計(jì)數(shù)器的進(jìn)位輸出,即enmin。當(dāng)計(jì)數(shù)到59時(shí),再來(lái)一個(gè)計(jì)數(shù)脈沖則產(chǎn)生進(jìn)位輸出,即enhour=1,作為時(shí)計(jì)數(shù)器的計(jì)數(shù)脈沖。3. 時(shí)計(jì)數(shù)器模塊設(shè)計(jì)與實(shí)現(xiàn)a) 時(shí)計(jì)數(shù)器流程圖調(diào)時(shí)按鍵按下 N Y 24計(jì)數(shù)器進(jìn)位高電平 滿24清零 Y N 時(shí)計(jì)數(shù)輸出 圖3-3時(shí)計(jì)算器流程圖b) 時(shí)計(jì)數(shù)器生成模塊其中,clk
5、是時(shí)鐘信號(hào),daout是24計(jì)數(shù)輸出。c) 波形仿真圖波形分析:小時(shí)計(jì)數(shù)模塊由24進(jìn)制計(jì)數(shù)器完成的從00到23之間的循環(huán)計(jì)數(shù),計(jì)數(shù)脈沖為分計(jì)數(shù)器的進(jìn)位輸出,即enhour。4. 去抖模塊在計(jì)數(shù)模塊錢(qián)加上去抖模塊,去抖模塊實(shí)際上就一個(gè)倒數(shù)計(jì)數(shù)器,主要目的是為了避免按鍵時(shí)按鍵的抖動(dòng)效應(yīng)使按鍵輸入信號(hào)產(chǎn)生不必要的抖動(dòng),而造成重復(fù)統(tǒng)計(jì)按鍵次數(shù)造成錯(cuò)誤的結(jié)果。因此,只需將按鍵輸入信號(hào)作為計(jì)數(shù)器的重置輸入,使計(jì)數(shù)器只有在按鍵時(shí),且在輸入信號(hào)為0時(shí)間足夠長(zhǎng)的一次使重置無(wú)動(dòng)作,而計(jì)數(shù)器開(kāi)始倒數(shù)計(jì)數(shù),自然課將輸入信號(hào)在短時(shí)間內(nèi)變?yōu)?的情況濾掉。5. 頂層原理設(shè)計(jì)圖 將分頻器、秒計(jì)數(shù)器、分計(jì)數(shù)器、時(shí)計(jì)數(shù)器、譯碼器
6、、3-8線譯碼器按照要求連接起來(lái)就組成了整個(gè)數(shù)字時(shí)鐘的原理圖,如圖所示。 圖3-4頂層原理設(shè)計(jì)圖四、 系統(tǒng)調(diào)試與性能分析1. 硬件測(cè)試:測(cè)試方式:clk選用clk1。KS0控制調(diào)分,KS1控制調(diào)時(shí)。數(shù)碼管數(shù)碼管8、7用作小時(shí)顯示,高位是小時(shí)的十位,低位是小時(shí)的個(gè)位。數(shù)碼管5、4用作分鐘顯示,高位是分鐘的十位,低位是分鐘的個(gè)位。數(shù)碼管2、1用作秒鐘顯示,高位是秒鐘的十位,低位是秒鐘的個(gè)位。管腳分配如下圖所示。2. 測(cè)試過(guò)程及結(jié)果分析將文件下載到FPGA中,數(shù)碼管上顯示00-00-00,并開(kāi)始計(jì)時(shí),秒鐘計(jì)到59向分鐘進(jìn)1,分鐘計(jì)到59向小時(shí)進(jìn)1。閉合KS0鍵分鐘以1HZ的頻率加1,加到59向小時(shí)進(jìn)
7、1,分鐘清0。閉合KS1鍵小時(shí)以1HZ的頻率加1,加到59小時(shí)清0。本數(shù)字時(shí)鐘能夠滿足正常計(jì)時(shí)和調(diào)分調(diào)時(shí)功能,達(dá)到預(yù)期的設(shè)計(jì)效果。五、 收獲與體會(huì)數(shù)字鐘是EDA最后一次也是最難的一次實(shí)驗(yàn),除了基本要求要實(shí)現(xiàn)外還要盡可能地實(shí)現(xiàn)附加功能。能力有限的我,把網(wǎng)上借鑒的程序與老師給的資料對(duì)照著看,能夠明白他每一模塊要實(shí)現(xiàn)的是什么功能,但是這次實(shí)驗(yàn)遺憾的是我的程序編譯沒(méi)有問(wèn)題,但可能由于設(shè)備的原因沒(méi)能在實(shí)驗(yàn)箱上實(shí)現(xiàn)其功能,不過(guò)在實(shí)驗(yàn)的過(guò)程中能在老師和同學(xué)的幫助下弄懂?dāng)?shù)字鐘一些功能原理,明白其工作狀態(tài),還是不枉這段時(shí)間的付出的。這段時(shí)間的實(shí)驗(yàn)不僅鍛煉了我們的動(dòng)手動(dòng)腦能力,同時(shí)也培養(yǎng)了我們堅(jiān)持、耐心等良好品質(zhì)
8、。六、 指導(dǎo)老師意見(jiàn)七、 參考書(shū)目【1】李國(guó)立,朱維勇,電子科技實(shí)驗(yàn)指導(dǎo)書(shū),合肥,中國(guó)科技大學(xué)出版社,2000年【2】柴誠(chéng)敬,劉國(guó)維,李阿娜.化工原理課程設(shè)計(jì),天津,天津科學(xué)技術(shù)出版社,1994年【3】潘松,黃繼業(yè),EDA技術(shù)實(shí)用教程,北京,科學(xué)出版社,2002年【4】鄭家龍,王小海,章安元集成電子技術(shù)基礎(chǔ)教程北京,高等教育出版社,2002年【4】宋萬(wàn)杰,羅豐,吳順君.CPLD技術(shù)及其應(yīng)用西安,西安電子科技大學(xué)出版社,1999年【5】張昌凡,龍永紅,彭濤.可編程邏輯器件及VHDL設(shè)計(jì)技術(shù),廣州,華南工學(xué)院出版社,2001年 【6】盧杰,賴毅.VHDL與數(shù)字電路設(shè)計(jì),北京,科學(xué)出版社,2001年
9、 【7】張明.Verilog HDL實(shí)用教程,成都,電子科技大學(xué)出版社,1999年八、 附錄:總程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY yimaqi ISPORT ( din : IN STD_LOGIC_VECTOR(0 TO 2); output : OUT STD_LOGIC_VECTOR(0 TO 7);END yimaqi;ARCHITECTURE behav OF yimaqi ISSIGNAL SINT : STD_LOGIC_VECTOR(4 DOWNTO 0);BEGIN PROCESS(din)BEGINIF (d
10、in=000) THEN output =10000000;ELSIF (din=001) THEN output =01000000;ELSIF (din=010) THEN output =00100000;ELSIF (din=011) THEN output =00010000;ELSIF (din=100) THEN output =00001000;ELSIF (din=101) THEN output =00000100;ELSIF (din=110) THEN output =00000010; ELSE output BCD_OUT BCD_OUT BCD_OUT BCD_O
11、UT BCD_OUT BCD_OUT BCD_OUT BCD_OUT BCD_OUT BCD_OUT BCD_OUT BCD_OUT BCD_OUT BCD_OUT BCD_OUT BCD_OUT BCD_OUT=00000000;END CASE;ELSEBCD_OUT=ZZZZZZZZ;END IF;END PROCESS;END hehav;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY F_DIVIDER IS GE
12、NERIC(N: INTEGER:=10; N1: INTEGER:=11); PORT( CLK: IN STD_LOGIC; CLK10: OUT STD_LOGIC; CLK11: OUT STD_LOGIC; CLK2: OUT STD_LOGIC );END F_DIVIDER;ARCHITECTURE BEHAV OF F_DIVIDER IS SIGNAL DATA:INTEGER RANGE 0 TO 10; SIGNAL CNT: STD_LOGIC_VECTOR(N-1 DOWNTO 0); SIGNAL CNT1: STD_LOGIC_VECTOR(N1-1 DOWNTO
13、 0); SIGNAL Q:STD_LOGIC;BEGINP1: PROCESS(CLK) BEGIN IF(CLKEVENT AND CLK=1) THEN CNT = CNT+1; END IF; END PROCESS P1; CLK10 = CNT(N-1);P2: PROCESS(CLK) BEGIN IF(CLKEVENT AND CLK=1) THEN CNT1 = CNT1+1; END IF; END PROCESS P2; CLK11 = CNT1(N1-1); P3: PROCESS(CLK) BEGIN IF(CLKEVENT AND CLK=1) THEN IF(DA
14、TA=0) THEN DATA=0; Q=NOT Q; ELSE DATA=DATA+1; END IF; END IF;END PROCESS P3;CLK2 = Q;END BEHAV;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY LED ISPORT ( CLK: IN STD_LOGIC; SG: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); BT:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); A:BUFFER STD_LOGIC
15、_VECTOR(2 DOWNTO 0); END LED;ARCHITECTURE rt OF LED ISBEGIN P1:PROCESS(CLK) BEGIN IF CLKEVENT AND CLK=1 THEN IF A=101 THEN A=000; ELSE ABT=000;SGBT=001;SGBT=010;SGBT=011;SGBT=100;SGBT=101;SGSG=XXXXXXX ; END CASE; END PROCESS P2; END rt;library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_ari
16、th.all; use ieee.std_logic_unsigned.all;entity clock isport(clk:in std_logic;-12M時(shí)鐘 keyin:in std_logic; speak:out std_logic;-蜂鳴器 dout:out std_logic_vector(7 downto 0);-段碼 selout:out std_logic_vector(2 downto 0);-位選end clock;architecture one of clock is signal count:integer range 0 to 11999999;-1HZ秒信
17、號(hào) signal counf:integer range 0 to 11999;-1000HZ SIGNAL CP_5ms : STD_LOGIC; SIGNAL Q1,Q2,Q3: STD_LOGIC; SIGNAL keyout: STD_LOGIC; SIGNAL CJ:STD_LOGIC_VECTOR(2 DOWNTO 0); signal sel:std_logic_vector(2 downto 0);-位選 signal hou1:std_logic_vector(3 downto 0);-計(jì)數(shù)中小時(shí)的十位 signal hou2:std_logic_vector(3 downt
18、o 0);-小時(shí)的個(gè)位 signal min1:std_logic_vector(3 downto 0);-分鐘的十位 signal min2:std_logic_vector(3 downto 0);-分鐘的個(gè)位 signal sec1:std_logic_vector(3 downto 0);-秒的十位 signal sec2:std_logic_vector(3 downto 0);-秒的個(gè)位 signal seth1:std_logic_vector(3 downto 0);-設(shè)時(shí)中小時(shí)的十位 signal seth2:std_logic_vector(3 downto 0);-小時(shí)的
19、個(gè)位 signal setm1:std_logic_vector(3 downto 0);-分鐘的十位 signal setm2:std_logic_vector(3 downto 0);-分鐘的個(gè)位 signal h1:std_logic_vector(3 downto 0); -顯示小時(shí)十位 signal h2:std_logic_vector(3 downto 0);-小時(shí)的個(gè)位 signal m1:std_logic_vector(3 downto 0);-分鐘的十位 signal m2:std_logic_vector(3 downto 0);-分鐘的個(gè)位 signal s1:std
20、_logic_vector(3 downto 0);-秒的十位 signal s2:std_logic_vector(3 downto 0);-秒的個(gè)位 signal clk1,clkk,beep:std_logic; begin-分頻fp:process(clk) begin if rising_edge(clk) then count=count+1; counf=counf+1; if count=11999999 then clk1=1;-1Hz count=0; beep5999999 then beep=0;-2Hz else clk1=0; end if; if counf=11
21、999 then clkk=1;-1000HZ counf=0; else clkk=0; end if; end if;end process fp;-位掃描choice:process(clkk)-位選 掃描 begin if rising_edge(clkk)then if sel=111 then sel=000; else sel=sel+1; end if; end if;end process choice;-秒個(gè)位s220:process(clk1,cj) begin if clk1event and clk1=1 then if sec2=1001 then -其中sec2是
22、秒的個(gè)位 sec2=0000; elsif cj=010 then sec2=sec2; else sec2=sec2+1; end if; end if;if cj=001 then sec2=0000; -秒個(gè)位復(fù)位end if;end process s220;-秒十位s110:process(clk1,cj) begin if clk1event and clk1=1 then if (sec1=0101 and sec2=1001)then-其中sec1是秒鐘的十位 sec1=0000; elsif cj=010 then sec1=sec1; else if sec2=1001th
23、en sec1=sec1+1; end if; end if;end if;if cj=001 then sec1=0000; -秒十位復(fù)位end if;end process s110;-分鐘個(gè)位m220:process(clk1,sec1,sec2,cj) begin if clk1event and clk1=1 then if min2=1001and (sec1=0101 and sec2=1001)then-其中min2是分鐘的個(gè)位 min2=0000; elsif min2=1001and (cj=011 and cj=100)then- min2=0000; else if (
24、sec1=0101 and sec2=1001) or(cj=011 and cj=100)then min2=min2+1; end if; end if;end if;if cj=001 then min2=0000; end if; end process m220;-分鐘十位m110:process(clk1,min2,sec1,sec2,cj) begin if clk1event and clk1=1 then if (min1=0101 and min2=1001) and (sec1=0101 and sec2=1001)then min1=0000; elsif min1=0
25、101and min2=1001and (cj=011 and cj=100)then min1=0000; elsif (min2=1001and (sec1=0101 and sec2=1001) or (min2=1001and cj=011 and cj=100)then min1=min1+1; end if; end if;-end if;if cj=001 then min1=0000; end if;end process m110;-小時(shí)個(gè)位h220:process(clk1,min1,min2,sec1,sec2,cj,hou1) begin if clk1event an
26、d clk1=1 then if (hou1=0010 and hou2=0011)and(min1=0101 and min2=1001) and (sec1=0101 and sec2=1001) then hou2=0000; elsif hou2=1001and(min1=0101 and min2=1001) and (sec1=0101 and sec2=1001) then hou2=0000; elsif (hou2=1001and cj=110)or (hou1=0010and hou2=0011and cj=110) then hou2=0000;-md=1; elsif
27、(min1=0101 and min2=1001) and (sec1=0101 and sec2=1001)or (cj=110) then hou2=hou2+1;-speak=clk; end if; end if;if cj=001 then hou2=0000; end if;end process h220;-小時(shí)十位h110:process(clk1,hou2,min1,min2,sec1,sec2,cj) begin if clk1event and clk1=1 then if (hou1=0010 and hou2=0011)and(min1=0101 and min2=1
28、001) and (sec1=0101 and sec2=1001) then hou1=0000; elsif hou1=0010and hou2=0011and cj=110 then-當(dāng)時(shí)間為23點(diǎn)且處于校時(shí)狀態(tài)時(shí) hou1=0000; elsif (hou2=1001and(min1=0101 and min2=1001) and (sec1=0101 and sec2=1001)or (hou2=1001and cj=110) then hou1=hou1+1; end if; end if;if cj=001 then hou1=0000; end if;end process h
29、110;-時(shí)間設(shè)置小時(shí)部分sethour1:process(clk1,seth1,seth2,cj) begin if clk1event and clk1=1 then if seth1=0010and seth2=0011 then seth1=0000; elsif seth2=1001 then seth1=seth1+1; end if; end if;if cj=001 then seth1=0000; end if;end process sethour1;-sethour2:process(clk1,seth1,cj) begin if clk1event and clk1=1
30、 then if (seth1=0010and seth2=0011)or seth2=1001 then -其中seth1,seth2分別是調(diào)時(shí)的小時(shí)部位的十位與個(gè)位 seth2=0000; elsif cj=111 then seth2=seth2+1; end if; end if;if cj=001 then seth2=0000; end if;end process sethour2;-時(shí)間設(shè)置分鐘部分setmin1:process(clk1,setm2,cj) begin if clk1event and clk1=1 then if setm1=0101and setm2=10
31、01 then setm1=0000; elsif setm2=1001 then setm1=setm1+1; end if; end if;if cj=001 then setm1=0000; end if;end process setmin1;-setmin2:process(clk1,cj) begin if clk1event and clk1=1 then if setm2=1001 then setm2=0000; elsif cj=101 then setm2=setm2+1; end if; end if;if cj=001 then setm2=0000; end if;
32、end process setmin2;-鬧鈴speaker:process(clkk,hou1,hou2,min1,min2,cj) begin if clkkevent and clkk=1then if seth1=hou1 and seth2=hou2 and setm1=min1 and setm2=min2 then speak=beep; else speak=0; end if; end if;if cj=001 then speak=0; end if;end process speaker;-disp:process(sel,cj,hou1,hou2,min1,min2,sec1,sec2,seth1,seth2,setm1,setm2)-顯示 begin if sel=000 then seloutdoutdoutdoutdout=00000000;-不顯示 end case; elsif sel=001 then selo
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 《眾人行管理咨詢網(wǎng)》課件
- 運(yùn)動(dòng)器材銷(xiāo)售工作總結(jié)
- 2013年高考語(yǔ)文試卷(湖北)(空白卷)
- 租車(chē)服務(wù)員工作總結(jié)
- 2006年江西高考語(yǔ)文真題及答案
- 驅(qū)動(dòng)未來(lái)新型汽車(chē)
- 2023年-2024年項(xiàng)目管理人員安全培訓(xùn)考試題附解析答案可打印
- 2023年-2024年項(xiàng)目部管理人員安全教育培訓(xùn)試題及參考答案【A卷】
- 2023-2024安全培訓(xùn)考試題及答案【名校卷】
- 2023年-2024年項(xiàng)目部安全培訓(xùn)考試題答案完美
- 排水管渠及附屬構(gòu)筑物
- 養(yǎng)豬場(chǎng)施工噪聲環(huán)境影響分析
- Windows-Server-2012網(wǎng)絡(luò)服務(wù)架構(gòu)課件(完整版)
- 2022版義務(wù)教育語(yǔ)文課程標(biāo)準(zhǔn)(2022版含新增和修訂部分)
- 形位公差_很詳細(xì)(基礎(chǔ)教育)
- 手榴彈使用教案
- 600MW機(jī)組除氧器水位控制系統(tǒng)
- 史上最全的涉稅風(fēng)險(xiǎn)
- 初中數(shù)學(xué)問(wèn)題情境的創(chuàng)設(shè)
- 電力設(shè)備典型消防規(guī)程.ppt
- 北京興昌達(dá)博房地產(chǎn)開(kāi)發(fā)有限公司重整計(jì)劃
評(píng)論
0/150
提交評(píng)論