畢業(yè)設(shè)計(jì)(論文)基于FPGA的FSK調(diào)制解調(diào)設(shè)計(jì)_第1頁
畢業(yè)設(shè)計(jì)(論文)基于FPGA的FSK調(diào)制解調(diào)設(shè)計(jì)_第2頁
畢業(yè)設(shè)計(jì)(論文)基于FPGA的FSK調(diào)制解調(diào)設(shè)計(jì)_第3頁
畢業(yè)設(shè)計(jì)(論文)基于FPGA的FSK調(diào)制解調(diào)設(shè)計(jì)_第4頁
畢業(yè)設(shè)計(jì)(論文)基于FPGA的FSK調(diào)制解調(diào)設(shè)計(jì)_第5頁
已閱讀5頁,還剩30頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、摘 要fpga是現(xiàn)場(chǎng)可編程門陣列(field programmable gate array)的簡稱。它具有可編程邏輯器件現(xiàn)場(chǎng)可編程的靈活性,又有門陳列器件功能強(qiáng)、高集成度和高速度的優(yōu)點(diǎn),因此已在現(xiàn)代通信系統(tǒng)設(shè)計(jì)中被越來越廣泛的應(yīng)用。vhdl語言具有很強(qiáng)的電路描述和建模能力,能從多個(gè)層次對(duì)數(shù)字系統(tǒng)進(jìn)行建模和描述,從而大大簡化了硬件設(shè)計(jì)任務(wù),提高了設(shè)計(jì)效率和可靠性。論文著重使用vhdl語言對(duì)2fsk的調(diào)制與解調(diào)進(jìn)行編程。在系統(tǒng)仿真中,用max+plus作為仿真平臺(tái),對(duì)2fsk信號(hào)進(jìn)行了調(diào)制解調(diào)的仿真。調(diào)制方面用的鍵控法,解調(diào)方面用的相干解調(diào)進(jìn)行解調(diào)。基于fpga的2fsk調(diào)制與解調(diào)在max+pl

2、us ii上實(shí)現(xiàn),通過vhdl語言的編程,生成調(diào)制解調(diào)所需要的幾個(gè)模塊,以實(shí)現(xiàn)整個(gè)2fsk的調(diào)制解調(diào)系統(tǒng)。本論文共分四章,第一章主要是介紹了fpga的原理以及它的應(yīng)用、發(fā)展現(xiàn)狀,另外介紹了vhdl語言的特點(diǎn);第二章較為詳細(xì)的講述了fsk調(diào)制和解調(diào)的原理,其中包括fsk的多種調(diào)制和解調(diào),及功率譜密度的特點(diǎn)。第三章開始對(duì)2fsk調(diào)制解調(diào)系統(tǒng)的各個(gè)單元器件進(jìn)行設(shè)計(jì)。第四章開頭介紹了max+plus ii這款軟件,接著使用這款軟件實(shí)現(xiàn)2fsk調(diào)制解調(diào)算法,其中包括對(duì)各個(gè)功能模塊的算法編程和時(shí)序仿真。本設(shè)計(jì)的目的不是為了產(chǎn)生一種優(yōu)于前人算法的算法,而是部分使用前人的算法,在前人算法中加入自己對(duì)2fsk調(diào)

3、制解調(diào)算法的理解,從而產(chǎn)生能用于本設(shè)計(jì)的非通用算法。關(guān)鍵詞:仿真,2fsk,vhdl,fpgaabstractfpga is the abbreviation for field programmable gate array.it has the flexibility of field programmable to the programmable logic devices,also have strong function, high level of integration and the advantages of high speed,these advantages are

4、same with gate array devices.therefore ,it has been more and more widely used in the modern communication system design.vhdl language has strong ability of circuit described and modeling, it can model and describe digital system in multiple levels,simplified the hardware design task, to improve the

5、design efficiency and reliability.this paper mainly use the language of vhdl on programming modulation and demodulation of 2fsk. in the system simulation,using max+plus,to simulate modulation and demodulation of 2fsk. modulation use keying method, demodulation use coherent demodulation method.based

6、on 2fsk modulation and demodulation of fpga realizing on max+plus,through the vhdl language programming, producing some blocks of modulation and demodulation,realizing the whole 2fsk modulation and demodulation system.this thesis in chapter 4,the first chapter is mainly introduces he basic principle

7、 of fpga and its application, development situation,also introduces the characteristics of the language of vhdl;the second chapter tells the details of fsk modulation and demodulation basic principle, including various modulation and demodulation method of fsk,and power spectral density characterist

8、ics.the third chapter began to design each unit devices of the 2fsk modulation and demodulation.the fourth chapter began to introduce max + plus ii software,using this software to realize the algorithm of 2fsk modulation and demodulation,including programming the algorithm of the function module and

9、 timing simulation.the purpose of the design is not to produce an algorithm that better than previous algorithm,but some of the previous algorithm were used,in the previous algorithm to add my 2fsk demodulation of the algorithm,producing a special algorithms that used on this thesis.key words : simu

10、lation; 2fsk; vhdl; fpga目 錄摘 要iabstractii第一章 緒論11.1 fpga介紹11.2 vhdl簡介21.3 論文的目標(biāo)與內(nèi)容安排3第二章 fsk調(diào)制解調(diào)方法52.1 fsk調(diào)制方法52.1.1 2fsk與mfsk調(diào)制52.2 2fsk調(diào)制82.2.1 模擬調(diào)頻82.2.2 鍵控法92.3 2fsk解調(diào)92.3.1 相干解調(diào)92.3.2 非相干解調(diào)102.3.3 過零檢測(cè)10第三章 2fsk調(diào)制解調(diào)設(shè)計(jì)123.1 2fsk調(diào)制器設(shè)計(jì)123.1.1 功能模塊設(shè)計(jì)123.1.2 波形的降噪143.2 2fsk解調(diào)器設(shè)計(jì)15第四章 基于max+plus的2fsk

11、的調(diào)制解調(diào)算法164.1 max+plus軟件簡介164.2 2fsk調(diào)制解調(diào)算法164.2.1 m序列算法164.2.2 分頻器算法184.2.3 數(shù)據(jù)選擇器算法194.2.4 解調(diào)器算法204.2.5 2fsk調(diào)制解調(diào)完整算法22結(jié) 束 語24致 謝25參 考 文 獻(xiàn)26附錄27附錄28附錄30附錄31 第一章 緒論1.1 fpga介紹fpga是現(xiàn)場(chǎng)可編程門陣列(field programmable gate array),它有類似于半定制門陣列的通用結(jié)構(gòu),即由邏輯功能模塊排列成陣列,并由可編程的互連資源連接這些邏輯功能模塊。不同廠家生產(chǎn)不同型號(hào)的fpga都有各自的特色,就結(jié)構(gòu)來分析,基本

12、由3部分組成,即可編輯邏輯塊(clbconfigurable logic block),輸入輸出單元(iobi/o block)和可編程連線(irinterconnect resource)。常見fpga的結(jié)構(gòu)主要有3中類型:查表結(jié)構(gòu),多路開關(guān)結(jié)構(gòu),多極與非門結(jié)構(gòu)。1.查找表型fpga的結(jié)構(gòu)查找表型fpga可編程邏輯塊是查找表,由查找表構(gòu)成函數(shù)發(fā)生器,通過查找表來實(shí)現(xiàn)邏輯函數(shù)。查找表的物理結(jié)構(gòu)是靜態(tài)存儲(chǔ)器(sram)。輸入項(xiàng)的邏輯函數(shù)可以由有一個(gè)8位容量的sram實(shí)現(xiàn),函數(shù)值存放在sram中,sram的地址線起輸入線的作用,地址即輸入的變量值,sram的輸出為邏輯函數(shù)值,由連線開關(guān)與其他功能模

13、塊連接。查找表結(jié)構(gòu)函數(shù)的功能非常強(qiáng)。多個(gè)輸入的查找表可以實(shí)現(xiàn)多個(gè)輸入項(xiàng)的組合邏輯函數(shù),這樣的函數(shù)有許多。用查找表實(shí)現(xiàn)邏輯函數(shù)時(shí),把對(duì)應(yīng)函數(shù)的真值表預(yù)先存放在sram中即可實(shí)現(xiàn)相應(yīng)的函數(shù)運(yùn)算。2.多開關(guān)型fpga結(jié)構(gòu)在多路開關(guān)型fpga中,可編輯模塊是可配制的多路開關(guān)。利用多路開關(guān)的特性對(duì)多路開關(guān)的輸入和選擇信號(hào)進(jìn)行配制,接到固定電平或輸入信號(hào)上,從而實(shí)現(xiàn)不同的邏輯功能。多路開關(guān)型fpga的代表是actel公司的act系列fpga。在分析多路開關(guān)型結(jié)構(gòu)時(shí),必須選擇一組2選1多路開關(guān)作為基本函數(shù),然后再對(duì)輸入變量進(jìn)行配制,以實(shí)現(xiàn)所需的邏輯函數(shù)。多路的開關(guān)結(jié)構(gòu)中,同一函數(shù)可以用不同的形式來實(shí)現(xiàn),取決

14、于選擇控制信號(hào)和輸入信號(hào)的配置。3.多極與非門型fpga結(jié)構(gòu)采用多極與非門結(jié)構(gòu)的器件是altera公司的fpga。altera公司的與非門結(jié)構(gòu)基于一個(gè)“與-或-異或“邏輯塊。altera公司的fpga的多極與非門結(jié)構(gòu)同pld的與或陣列很類似。在多極與非門結(jié)構(gòu)中的與門是可編輯的,它起著邏輯連接和布線的作用,而在其他算術(shù)功能方面,fpga采用了邏輯單元陣列l(wèi)ca(logic cell array)這樣一個(gè)新概念,內(nèi)部包括可配置邏輯模塊clb(configurable logic block)、輸入輸出模塊iob(input output block)和內(nèi)部連線(interconnect)三個(gè)部分。

15、fpga的特點(diǎn)主要有:1、采用fpga設(shè)計(jì)asic電路,用戶不需要投片生產(chǎn),就能得到合用的芯片。2、fpga可做其它全定制或半定制asic電路的中試樣片。3、fpga內(nèi)部有豐富的觸發(fā)器和io引腳。4、fpga是asic電路中設(shè)計(jì)周期最短、開發(fā)費(fèi)用最低、風(fēng)險(xiǎn)最小的器件之一。5、fpga采用高速chmos工藝,功耗低,可以與cmos、ttl電平兼容??梢哉f,fpga芯片是小批量系統(tǒng)提高系統(tǒng)集成度、可靠性的最佳選擇之一。fpga是由存放在片內(nèi)ram中的程序來設(shè)置其工作狀態(tài)的,因此,工作時(shí)需要對(duì)片內(nèi)的ram進(jìn)行編程。用戶可以根據(jù)不同的配置模式,采用不同的編程方式。加電時(shí),fpga芯片將eprom中數(shù)據(jù)

16、讀入片內(nèi)編程ram中,配置完成后,fpga進(jìn)入工作狀態(tài)。掉電后,fpga恢復(fù)成白片,內(nèi)部邏輯關(guān)系消失,因此,fpga能夠反復(fù)使用。fpga的編程無須專用的fpga編程器,只須用通用的eprom、prom編程器即可。當(dāng)需要修改fpga功能時(shí),只需要一片eprom即可。這樣,同一片fpga,不同的編程數(shù)據(jù),可以產(chǎn)生不同的電路功能。因此,fpga的使用非常靈活。fpga有多種配置模式:并行主模式是一片fpga加一片eprom的方式;主從模式可以支持一片prom編程多片fpga;串行模式可以采用串行prom編程fpga;外設(shè)模式可以將fpga作為微處理器外設(shè),由微處理器對(duì)其編程。由于fpga 具有可編

17、程邏輯器件現(xiàn)場(chǎng)可編程的靈活性, 又具有門陳列器件功能強(qiáng)、高集成度和高速度的優(yōu)點(diǎn), 因此在要求功能越來越強(qiáng), 體積越來越小, 功耗越來越低的現(xiàn)代通信系統(tǒng)設(shè)計(jì)中被越來越廣泛的應(yīng)用。1.2 vhdl簡介硬件描述語言(hdlhardware description language)是一種用于設(shè)計(jì)硬件電子系統(tǒng)的計(jì)算機(jī)語言,它用軟件編程的方式來描述電子系統(tǒng)的邏輯功能、電路結(jié)構(gòu)和連接形式,與傳統(tǒng)門級(jí)描述方式相比,它更適合大規(guī)模系統(tǒng)的設(shè)計(jì)。vhdl語言可讀性強(qiáng),易于修改和發(fā)現(xiàn)錯(cuò)誤。早期的硬件描述語言,如abelhdl、ahdl,由不同的eda廠商開發(fā),互不兼容,而且不支持多層次設(shè)計(jì),層次間翻譯工作要由人工完

18、成。為了克服以上不足,1985年美國國防部正式推出了vhdl(very high speed ic hardware description language)語言,1987年ieee采納vhdl為硬件描述語言標(biāo)準(zhǔn)(ieee std-1076)。vhdl語言的全稱是“超高速集成電路硬件描述語言”,它是一種全方位的硬件描述語言,包括系統(tǒng)行為級(jí)、寄存器傳輸級(jí)和邏輯門級(jí)多個(gè)設(shè)計(jì)層次,支持結(jié)構(gòu)、數(shù)據(jù)流、行為三種描述形式的混合描述, vhdl是一種獨(dú)立于實(shí)現(xiàn)技術(shù)的語言,它不受某一特定工藝的束縛,允許設(shè)計(jì)者在其使用范圍內(nèi)選擇工藝和方法。為了適應(yīng)未來的數(shù)字硬件技術(shù),vhdl還提供了將新技術(shù)引入現(xiàn)有設(shè)計(jì)的潛力

19、。vhdl語言的最大特點(diǎn)是描述能力極強(qiáng),覆蓋了邏輯設(shè)計(jì)的諸多領(lǐng)域和層次,并支持眾多的硬件模型。此外,vhdl較其他的硬件描述語言有如下優(yōu)越之處:1、支持從系統(tǒng)級(jí)到門級(jí)電路的描述,同時(shí)也支持多層次的混合描述;描述形式可以是結(jié)構(gòu)描述,也可以是行為描述,或者二者兼而有之。2、既支持自底向上的設(shè)計(jì),也支持自頂向下的設(shè)計(jì);既支持模塊化設(shè)計(jì),也支持層次化設(shè)計(jì);支持大規(guī)模的設(shè)計(jì)。3、既支持同步電路,也支持異步電路,既支持同步方式,也支持異步方式。4、數(shù)據(jù)類型豐富,既支持預(yù)定義的數(shù)據(jù)類型,又支持自定義的數(shù)據(jù)類型;vhdl是強(qiáng)類型語言,設(shè)計(jì)電路安全性好。5、支持傳輸延遲和慣性延遲,可以更準(zhǔn)確地建立復(fù)雜的電路硬件

20、模型。6、支持過程與函數(shù)的概念,有助于設(shè)計(jì)者組織描述,對(duì)行為功能進(jìn)一步分類。7、提供了將獨(dú)立的工藝集中于一個(gè)設(shè)計(jì)包的方法,便于作為標(biāo)準(zhǔn)的設(shè)計(jì)文檔保存,也便于設(shè)計(jì)資源的重復(fù)使用。8、vhdl語言具有很高的精確性,并提供了向設(shè)計(jì)傳送環(huán)境信息的能力。9、vhdl語言的斷言語句可用來描述設(shè)計(jì)本身的約束信息,支持設(shè)計(jì)在描述中的書寫錯(cuò)誤和特殊約束,便于模擬調(diào)試,而且為綜合提供了重要信息。1.3 論文的目標(biāo)與內(nèi)容安排fpga就是現(xiàn)場(chǎng)可編程門陣列(field programmable gate array),它具有類似于半定制門陣列的通用結(jié)構(gòu),即由邏輯功能塊排列成陣列組成,并由可編程的互連資源連接這些邏輯功能

21、塊來實(shí)現(xiàn)所需的設(shè)計(jì)由于fpga 具有可編程邏輯器件現(xiàn)場(chǎng)可編程的靈活性,又具有門陳列器件功能強(qiáng)、高集成度和高速度的優(yōu)點(diǎn), 因此在要求功能越來越強(qiáng),體積越來越小,功耗越來越低的現(xiàn)代通信系統(tǒng)設(shè)計(jì)中被越來越廣泛的應(yīng)用。本論文就是用fpga來實(shí)現(xiàn)2fsk的調(diào)制解調(diào)算法。本論文用fpga的開發(fā)平臺(tái)max+plus來實(shí)現(xiàn)整個(gè)仿真系統(tǒng),具體內(nèi)容有:m序列(偽隨機(jī)序列)的產(chǎn)生;分頻器的設(shè)計(jì);數(shù)據(jù)的選擇控制,具體調(diào)制模塊的設(shè)計(jì)和正弦信號(hào)的產(chǎn)生幾部分。在max+plus 中實(shí)現(xiàn)2fsk系統(tǒng)時(shí),主要采用圖形輸入和文本輸入(vhdl語言編程)相結(jié)合的方式進(jìn)行,具體的模塊設(shè)計(jì)都是采用vhdl語言編寫,整個(gè)系統(tǒng)的實(shí)現(xiàn)則是采

22、用圖形輸入。 在設(shè)計(jì)中,也存在一些誤差問題,比如系統(tǒng)延遲問題,仿真出現(xiàn)毛刺,由于所有的仿真也都是在理想狀態(tài)下進(jìn)行的,這些問題在這篇論文中都沒有進(jìn)行深入討論,這是以后對(duì)2fsk的fpga實(shí)現(xiàn)需要繼續(xù)研究的問題。第二章 fsk調(diào)制解調(diào)方法2.1 fsk調(diào)制方法2.1.1 2fsk與mfsk調(diào)制fsk又稱頻移鍵控,它是利用載頻頻率的變化來傳遞數(shù)字信息。fsk是信息傳輸中使用得較早的一種調(diào)制方式,它的主要優(yōu)點(diǎn)是:實(shí)現(xiàn)起來較容易,抗噪聲與抗衰減的性能較好。在中低速數(shù)據(jù)傳輸中得到了廣泛的應(yīng)用。2fsk信號(hào)為兩個(gè)不同頻率交替發(fā)送的ask信號(hào)的和。圖2-1 2fsk波形2fsk是二進(jìn)制頻移鍵控,2fsk信號(hào)的

23、“0”符號(hào)對(duì)應(yīng)于載頻1,而“1”符號(hào)對(duì)應(yīng)于載頻2。所以2fsk信號(hào)可利用一個(gè)矩形脈沖序列對(duì)一個(gè)載波進(jìn)行調(diào)頻而獲得。根據(jù)以上2fsk信號(hào)的產(chǎn)生原理,已調(diào)信號(hào)的數(shù)學(xué)表示式為eo(t)=ang(t-nts)cos(1t+n)+ ang(t-nts)cos(2t+n) (2-1)式中g(shù)(t)為單個(gè)矩形脈沖,脈寬為ts1概率為(1-p)0概率為 p= (2-2)的反碼 0 概率為(1-p)1 概率為 p= (2-3),分別為第n個(gè)信號(hào)碼元的初相位由于多進(jìn)制數(shù)字調(diào)制是使被調(diào)參數(shù)在一個(gè)碼元間隔內(nèi)有多個(gè)可能取值,因此與二進(jìn)制數(shù)字調(diào)制相比,多進(jìn)制數(shù)字調(diào)制具有以下兩個(gè)優(yōu)點(diǎn):(1)在相同的碼元傳輸速率下,多進(jìn)制系統(tǒng)

24、的信息傳輸速率顯然比二進(jìn)制的高。(2)在相同的信息傳輸速率下,由于多進(jìn)制碼元傳輸速率比二進(jìn)制的低,因而多進(jìn)制信號(hào)碼元的持續(xù)時(shí)間要比二進(jìn)制的長。顯然,增大碼元寬度,就會(huì)增加碼元的能量,并能減少由于信道特性引起的碼間干擾的影響等。正是基于這些特點(diǎn),使多進(jìn)制調(diào)制方式得到了廣泛的應(yīng)用。輸出輸入f2f1fm相加器門電路門電路門電路邏 輯 電 路接 收濾波器抽樣判決器檢波器檢波器檢波器帶通f1帶通f2帶通fm邏輯電路 信道串/并變換 mfsk是多進(jìn)制數(shù)字頻率調(diào)制,簡稱多頻制。它基本上是二進(jìn)制數(shù)字頻率鍵控方式的直接推廣,其組成方框圖如下:圖2-2 多頻制系統(tǒng)的組成方框圖絕大多數(shù)的多頻制系統(tǒng),可用上圖表示。圖

25、中,串并變換器和邏輯電路將一組輸入二進(jìn)制碼轉(zhuǎn)換成有多種狀態(tài)的多進(jìn)制碼。當(dāng)某組二進(jìn)制碼到來時(shí),邏輯電路的輸出一方面打開相應(yīng)的一個(gè)門電路,讓與該門電路相應(yīng)載波發(fā)送出去;另一方面卻同時(shí)關(guān)閉其余所有的門電路。于是,當(dāng)一組組二進(jìn)制碼輸入時(shí),經(jīng)相加器送出的便是一個(gè)多進(jìn)制頻率鍵控的波形。多頻制的解調(diào)部分由多個(gè)帶通濾波器、包絡(luò)檢波器及一個(gè)抽樣判決器的有關(guān)邏輯電路組成。各帶通濾波器的中心頻率就是多個(gè)載波的頻率。因而,當(dāng)某一載頻到來時(shí),只有一個(gè)帶通濾波器有信號(hào)通過,而其他帶通濾波器只有噪聲通過。抽樣判決器的任務(wù)是在給定時(shí)刻上比較各檢波器輸出的電壓,并選出最大者作為輸出。 mfsk信號(hào)帶寬bmfsk=fm -f1+

26、f (2-4)2.1.2 fsk信號(hào)功率譜密度我們把二進(jìn)制頻移鍵控信號(hào)看成是兩個(gè)幅移鍵控信號(hào)相疊加的結(jié)果,即(2-5)(2-6)其中(2-7)(2-8)如果s1(t)的功率譜密度為ps1(f);s2(t)的功率譜密度為ps2(f),利用平穩(wěn)隨機(jī)過程經(jīng)過乘法器的結(jié)論,上式可以整理為如下形式:核心問題:與2ask信號(hào)表達(dá)式中的s(t)相同,(2-9) (2-10)(2-11)當(dāng)p=1/2時(shí)2fsk功率譜密度的特點(diǎn)如下:1、2fsk信號(hào)的功率譜由連續(xù)譜和離散譜兩部分構(gòu)成,離散譜出現(xiàn)在f1和f2位置;2、功率譜密度中的連續(xù)譜部分一般出現(xiàn)雙峰。若兩個(gè)載頻之差|f1 -f2|fs,則出現(xiàn)單峰;3、所需傳輸

27、帶寬 bfsk=|f1 -f2|+2fs2.2 2fsk調(diào)制2.2.1 模擬調(diào)頻2fsk信號(hào)可利用一個(gè)矩形脈沖序列對(duì)一個(gè)載波進(jìn)行調(diào)頻而獲得,這是頻率鍵控通信方式早期采用的實(shí)現(xiàn)方法,被稱為模擬調(diào)頻。用模擬調(diào)頻法時(shí),由于1與2fsk輸出二進(jìn)制數(shù)據(jù)模擬調(diào)頻器2改變時(shí),e0(t)相位是連續(xù)的,故n、n不僅與第n個(gè)信號(hào)碼元有關(guān),而且n與n之間也應(yīng)保持一定的關(guān)系。圖2-3 模擬調(diào)頻2.2.2 鍵控法鍵控法是利用受矩形脈沖序列控制的開關(guān)電路對(duì)兩個(gè)不同的獨(dú)立頻率源進(jìn)行選通。一般來說,鍵控法得到的n、n是與序列n無關(guān)的,反映在e0(t)上,僅表現(xiàn)出1與2改變時(shí)e0(t)相位是不連續(xù)的。2fsk輸出載波f1載波f

28、2二進(jìn)制數(shù)據(jù)圖2-4 鍵控法 理論上數(shù)字調(diào)制與模擬調(diào)制在本質(zhì)上沒有什么不同,它們都是屬正弦波調(diào)制。但是,數(shù)字調(diào)制是調(diào)制信號(hào)為數(shù)字型的正弦波調(diào)制,而模擬調(diào)制則是調(diào)制信號(hào)為連續(xù)型的正弦波調(diào)制,因而,數(shù)字調(diào)制具有由數(shù)字信號(hào)帶來的一些特點(diǎn)。這些特點(diǎn)主要是:第一,數(shù)字調(diào)制信號(hào)的產(chǎn)生,除把數(shù)字的調(diào)制信號(hào)當(dāng)作模擬信號(hào)的特例而直接采用模擬調(diào)制方式產(chǎn)生數(shù)字調(diào)制信號(hào)外,可以采用鍵控載波的方法。第二,對(duì)于數(shù)字調(diào)制信號(hào)的解調(diào),為提高系統(tǒng)的抗噪聲性能,通常采用與模擬調(diào)制系統(tǒng)中不同的解調(diào)方式。2.3 2fsk解調(diào)2fsk信號(hào)常用的解調(diào)方法為相干解調(diào)和非相干解調(diào) ,另外還有鑒頻法等。2.3.1 相干解調(diào)相干解調(diào)主要利用的是

29、同頻同相的載波頻率,對(duì)已調(diào)信號(hào)進(jìn)行正交,只有當(dāng)頻率與相位相同時(shí),才能判斷是什么信號(hào)。原理圖如下:帶 通濾波器乘法器低 通濾波器抽樣脈沖輸出輸入 帶 通濾波器乘法器本地載波f2低 通濾波器抽 樣判決器本地載波f1圖2-5相干解調(diào)原理圖2.3.2 非相干解調(diào)非相干解調(diào)與相干解調(diào)不同,它是利用脈沖檢測(cè)已調(diào)信號(hào)的周期,以區(qū)分已調(diào)信號(hào)的高低電平,從而判斷已調(diào)信號(hào)。原理圖如下:帶 通濾波器抽樣脈沖輸出輸入 帶 通濾波器抽 樣判決器包 絡(luò)檢波器包 絡(luò)檢波器圖2-6非相干解調(diào)原理2.3.3 過零檢測(cè)數(shù)字調(diào)頻波的過零點(diǎn)數(shù)隨不同載頻而異,故檢出過零點(diǎn)數(shù)可以得到關(guān)于頻率的差異。這就是過零檢測(cè)的基本思想,其原理如圖所

30、示。輸入信號(hào)經(jīng)限幅后產(chǎn)生矩形波序列,經(jīng)微分整流形成與頻率變化相應(yīng)的脈沖序列,這個(gè)序列就代表著調(diào)頻波的過零點(diǎn)。將其變換成具有一定寬度的矩形波,并經(jīng)低通濾波器濾除高次諧波,便能得到對(duì)應(yīng)于原數(shù)字信號(hào)的基帶脈沖信號(hào)。 整 流微 分低 通濾波器限 幅寬脈沖發(fā)生 圖2-7過零檢測(cè)原理圖第三章 2fsk調(diào)制解調(diào)設(shè)計(jì)3.1 2fsk調(diào)制器設(shè)計(jì)整個(gè)2fsk調(diào)制部分分為五個(gè)部分,分別是:分頻器,m序列產(chǎn)生器,數(shù)據(jù)選擇器,2fsk跳變檢測(cè)部分,正弦信號(hào)產(chǎn)生器。整個(gè)系統(tǒng)的輸入時(shí)鐘,在硬件中是由晶體震蕩器完成的。將分頻器接入這個(gè)時(shí)鐘,分頻器產(chǎn)生的系統(tǒng)的輸入時(shí)鐘、4倍頻和16倍頻分別輸入數(shù)據(jù)選擇器和m序列產(chǎn)生器,并和m序

31、列產(chǎn)生器產(chǎn)生的碼元信號(hào)一起完成對(duì)頻率的選擇,再經(jīng)過跳變檢測(cè),這時(shí)產(chǎn)生的矩形波通過正弦信號(hào)產(chǎn)生器,變成調(diào)制信號(hào)。3.1.1 功能模塊設(shè)計(jì)1 分頻器在數(shù)字邏輯電路設(shè)計(jì)中,分頻器是一種基本電路。通常用來對(duì)某個(gè)給定頻率進(jìn)行分頻,以得到所需的頻率。整數(shù)分頻器的實(shí)現(xiàn)非常簡單,可采用標(biāo)準(zhǔn)的計(jì)數(shù)器,也可以采用可編程邏輯器件設(shè)計(jì)實(shí)現(xiàn)。但在某些場(chǎng)合下,時(shí)鐘源與所需的頻率不成整數(shù)倍關(guān)系,此時(shí)可采用小數(shù)分頻器進(jìn)行分頻。異或門模n計(jì)數(shù)器f0/(n-0.5)2分頻器f0/(2n-1)圖3-1 分頻器如上圖,分頻系數(shù)為n-0.5的分頻器電路可由一個(gè)異或門、一個(gè)模n計(jì)數(shù)器和一個(gè)二分頻器組成。在實(shí)現(xiàn)時(shí),模n計(jì)數(shù)器可設(shè)計(jì)成帶預(yù)置

32、的計(jì)數(shù)器,這樣可以實(shí)現(xiàn)任意分頻系數(shù)為n-0.5的分頻器。 2 m序列產(chǎn)生器n級(jí)線性移位寄存器,經(jīng)過適當(dāng)?shù)某轭^反饋和模2加法器能產(chǎn)生序列的最大可能周期是p=2-1,這樣的序列叫最長線性反饋移位寄存器序列或m序列。m序列具有許多優(yōu)良特性,是最常采用的擴(kuò)譜碼序列。它具有如下性質(zhì): 1序列的平衡性:m序列一個(gè)周期中“1”的個(gè)數(shù)比“0” 多1,且1的個(gè)數(shù)為2n-1,0的個(gè)數(shù)為2n-1-1。2移位可加性:某個(gè)m序列同相移為任意值的同一m序列的模2加是另一相移的m序列。3在周期為p=2-1的m序列中,總共有2個(gè)游程,有一個(gè)長度為n的1游程,一個(gè)長度為n-1的0游程。在實(shí)際工程應(yīng)用中,m序列既可以用硬件產(chǎn)生,

33、也可以用軟件產(chǎn)生,然后存在rom中通過相應(yīng)的時(shí)鐘同步輸出。在硬件中可使用移位寄存器來產(chǎn)生。m序列的顯著特點(diǎn)是:隨機(jī)特性;預(yù)先可確定性;循環(huán)特性,從而在通信領(lǐng)域得到了廣泛的應(yīng)用。在這里用一種帶有兩個(gè)反饋抽頭的3級(jí)反饋移位寄存器得到一串“1110010”循環(huán)序列,并采取措施防止進(jìn)入全“0”狀態(tài)。通過更換時(shí)鐘頻率,是可以方便地改變輸入碼元的速率的。m序列產(chǎn)生器的電路結(jié)構(gòu)如圖。 信號(hào)時(shí)鐘異或門或 非 門d qclk或 門d qclkd qclk圖3-2 m序列產(chǎn)生器3 數(shù)據(jù)選擇器數(shù)據(jù)選擇器的功能:在多個(gè)通道中選擇其中的某一路,或多個(gè)信息中選擇其中的某一個(gè)信息傳送或加以處理。數(shù)據(jù)分配器的功能:將傳送來的

34、或處理后的信息分配到各通道去。在本設(shè)計(jì)中,數(shù)據(jù)選擇器用于選擇正弦波產(chǎn)生器的兩個(gè)輸入時(shí)鐘,一個(gè)頻率為4mhz,此時(shí)正弦波產(chǎn)生器產(chǎn)生一個(gè)1 mhz的正弦波,代表數(shù)字信號(hào)“1”另一個(gè)頻率4mhz,此時(shí)產(chǎn)生一個(gè)250khz的正弦波信號(hào),代表數(shù)字信號(hào)“0”。當(dāng)信號(hào)為1時(shí),波形與f1相同,說明數(shù)據(jù)選擇器選擇了f1這路數(shù)據(jù)。當(dāng)信號(hào)為0時(shí),波形與f2相同,說明數(shù)據(jù)選擇器選擇了f2這路數(shù)據(jù)。跳變檢測(cè)將跳變檢測(cè)引入正弦波的產(chǎn)生中,可以使每次基帶碼元上升沿或下降沿到來時(shí)應(yīng)輸出波形位于正弦波形的0相位處,此電路的設(shè)計(jì)主要是便于觀察,確保示波器上成為一個(gè)連續(xù)的波形?;鶐盘?hào)的跳變檢測(cè)可以有很多方法,下圖為一種便于在可編

35、程邏輯器件中實(shí)現(xiàn)的方案。跳變輸出時(shí)鐘信號(hào)基帶碼元異或門d qclk圖3-3信號(hào)跳變檢測(cè)電路4正弦信號(hào)產(chǎn)生 用數(shù)字電路和dac變換器可以產(chǎn)生要求的模擬信號(hào)。根據(jù)抽樣定理可知,當(dāng)用模擬信號(hào)最大頻率2倍以上的速率對(duì)該模擬信號(hào)采樣時(shí),便可將模擬信號(hào)不失真地恢復(fù)出來。本例要求得到的是2個(gè)不同頻率的正弦信號(hào),實(shí)驗(yàn)中對(duì)正弦波每個(gè)周期采樣10個(gè)點(diǎn),即采樣速率為原正弦信號(hào)頻率的10倍,因此完全可以在接收端將原正弦信號(hào)不失真地恢復(fù)出來,從而可以在接收端對(duì)fsk信號(hào)正確地解調(diào)。 每個(gè)采樣點(diǎn)采用8位量化編碼,即8位分辨率。采樣點(diǎn)的個(gè)數(shù)與分辨率的大小主要取決于cpld/fpga器件的容量,其中分辨率的高低還與dac的位

36、數(shù)有關(guān)。實(shí)驗(yàn)表明,采用8位分辨率和每周期10個(gè)采樣點(diǎn)可以達(dá)到理想的效果。3.1.2 波形的降噪兩個(gè)不同頻率的載波對(duì)基帶信號(hào)進(jìn)行調(diào)制。這個(gè)2fsk信號(hào)的波形也正確的表示出基帶信號(hào)m序列的值“1110010”1) fir濾波器數(shù)字濾波器是指輸入、輸出均為數(shù)字信號(hào),通過一定運(yùn)算關(guān)系改變輸入信號(hào)所含頻率成分的相對(duì)比例或者濾除某些頻率成分的的器件。fir濾波器是有脈沖響應(yīng)的濾波器。fir濾波器是一種lti數(shù)字濾波器,它的基本結(jié)構(gòu)是一個(gè)分節(jié)的延時(shí)線,把每一節(jié)的輸出加權(quán)累加,得到濾波器的輸出。數(shù)學(xué)上表示為:(3-1)2) fir濾波器的設(shè)計(jì) 在這里,是利用頻率采樣來對(duì)fir濾波器進(jìn)行設(shè)計(jì)的。設(shè)待設(shè)計(jì)的濾波器

37、的傳輸函數(shù)用表示,對(duì)0到2之間等間隔采樣n點(diǎn),得到hd(k)(3-2)(3-3)再對(duì)n點(diǎn)hd(k)進(jìn)行idft,得到h(n),式中,h(n)為所設(shè)計(jì)的濾波器的單位取樣響應(yīng),其系統(tǒng)函數(shù)h(z)為(3-4)式y(tǒng) (n)h(n1)h(n-2)h(2)h(1)h(n)z-1z -1z-1x (n)(3-4)適合fir直接型網(wǎng)絡(luò)結(jié)構(gòu)。圖3-4 fir直接型網(wǎng)絡(luò)結(jié)構(gòu)3.2 2fsk解調(diào)器設(shè)計(jì)載波信號(hào)經(jīng)過帶通濾波后整形形成寬帶不同的方波,這些方波代表不同的碼元;鑒頻器確定對(duì)應(yīng)載波頻率,根據(jù)頻率判決對(duì)應(yīng)碼元,實(shí)現(xiàn)fsk解調(diào)涉及的帶通濾波fsk整形鑒頻判決輸出技術(shù)問題比調(diào)制難度大,一般要使用帶通濾波器、倍頻器、

38、鎖相環(huán)等,電路較為復(fù)雜。(非本設(shè)計(jì)主要內(nèi)容) 圖3-5 fsk解調(diào)方框圖第四章 基于max+plus的2fsk的調(diào)制解調(diào)算法4.1 max+plus軟件簡介max+plus ii的全稱multiple array matrix and programmable logic user system ii ,它的中文全稱是復(fù)陣列矩陣及可編程邏輯用戶系統(tǒng)。max+plus ii是altera公司專門為研制pld而開發(fā)的軟件。從最初的第一代a+plus,第二代max+plus,發(fā)展到第三代max+plus ii,altra 公司的開發(fā)工具軟件不斷完善。max+plus ii的版本不斷升級(jí),功能也越來越

39、強(qiáng)大。max+plus ii是一個(gè)完全集成化的可編程邏輯設(shè)計(jì)環(huán)境,能滿足用戶各種各樣的設(shè)計(jì)需要。它支持altera公司不同結(jié)構(gòu)的器件,可在多種平臺(tái)上運(yùn)行。max+plus ii具有突出的靈活性和高效性,為設(shè)計(jì)者提供了多種可自由選擇的設(shè)計(jì)方法和工具。豐富的圖形界面,可隨時(shí)訪問的在線幫助檔案,是用戶能夠快速輕松地掌握和使用max+plus ii軟件。同時(shí),強(qiáng)大的功能能極大地減輕設(shè)計(jì)者的負(fù)擔(dān),使設(shè)計(jì)者可以快速完成所需設(shè)計(jì)。使用該軟件,用戶從開始設(shè)計(jì)邏輯電路到完成器件下載編程一般只需數(shù)小時(shí)時(shí)間,其中設(shè)計(jì)的編譯時(shí)間僅需數(shù)分鐘。max+plus ii有很多特點(diǎn):1廣泛的應(yīng)用范圍。max+plus ii除支

40、持altera公司的器件外,對(duì)別的公司的pld器件也有很好的支持2與器件獨(dú)立。max+plus ii提供了與器件結(jié)構(gòu)獨(dú)立的設(shè)計(jì)環(huán)境和綜合能力,用戶可以在設(shè)計(jì)過程中不考慮具體的結(jié)構(gòu);3通用性強(qiáng) ;4兼容性好;5集成度與自動(dòng)化程度高;6擁有強(qiáng)大的幫助系統(tǒng);7易學(xué)易用,max+plus ii是高度集成的工具,提供豐富的圖形用戶接口,軟件界面新穎友好,通過短時(shí)期學(xué)習(xí)就能熟練掌握。max+plus ii具有開放的界面,提供豐富的邏輯功能庫供設(shè)計(jì)人員調(diào)用,還具有開放核的特點(diǎn),允許設(shè)計(jì)人員添加自己的宏功能模塊。充分利用這些邏輯功能模塊,可以減輕設(shè)計(jì)的工作量,成倍縮短開發(fā)周期。max+plus ii軟件支持各

41、種hdl語言設(shè)計(jì)輸入。在本設(shè)計(jì)中,主要就是用vhdl語言進(jìn)行編程的。4.2 2fsk調(diào)制解調(diào)算法4.2.1 m序列算法 m序列算法部分vhdl程序如下(完整程序見附錄): signal a:std_logic_vector(2 downto 0); begin process(start) begin if(startevent and start=1) then -上升沿檢測(cè) a(0)=a(1); a(1)=a(2); end if; end process; process(start) begin if(startevent and start=1) then a(2)=(a(1) xo

42、r a(0) or (not (a(0) or a(1) or a(2); end if; end process; y=a(0);本程序的算法是:每次檢測(cè)上升沿,移動(dòng)中間變量a的每一位,并經(jīng)過簡單的“異或”,“或”運(yùn)算得到m序列,產(chǎn)生的信號(hào)為“1110010”。使用max+plus軟件的vhdl文本輸入功能并進(jìn)行時(shí)序仿真,得到如下仿真圖: 圖4-1 m序列仿真高電平代表信號(hào)1,低電平代表信號(hào)0,同步信號(hào)start頻率為100khz。由圖可以看出產(chǎn)生的m序列為1110010,周期是70us;a是中間變量。對(duì)波形進(jìn)行延時(shí)分析,如圖: 圖4-2 m序列仿真延時(shí)由圖可知,m序列信號(hào)較同步信號(hào)有10.

43、9ns的延時(shí)。4.2.2 分頻器算法分頻器算法部分vhdl程序如下(完整程序見附錄): process(clk) begin if (clkevent and clk = 1)then if (count1 = 1111) then -4位二進(jìn)制計(jì)數(shù)器 count1 0); -計(jì)數(shù)清零 else count1 = count1 + 1; end if ; end if ; end process; process(clk) begin -占空比1/16 if (clkevent and clk=1 ) then if(count1 = 1111) then -滿足條件給f16賦值 f16 =

44、1 ; else f16 = 0 ; end if ; end if ; end process; 本程序的算法是:每次檢測(cè)上升沿,計(jì)數(shù)器加1,加到1111時(shí)清零,并賦值1給f16,還沒加到1111,則賦值0給f16,這樣就產(chǎn)生了占空比為1/16的頻率,并且頻率由時(shí)鐘的16分頻得來。本設(shè)計(jì)要求頻率可變,占空比可變。為滿足要求,只需將程序中的2進(jìn)制數(shù)改變,即可實(shí)現(xiàn)不同頻率與不同占空比的變化。為簡便起見,這里只仿真設(shè)計(jì)所需的一部分。使用max+plus軟件的vhdl文本輸入功能并進(jìn)行時(shí)序仿真,得到如下仿真圖:圖4-3 分頻器仿真時(shí)鐘信號(hào)頻率80mhz,由圖可以看出f16占空比是1/16,頻率為5m

45、hz,f4占空比是1/4,頻率為20mhz;count1,coune2為計(jì)數(shù)器,都是中間變量。對(duì)波形進(jìn)行延時(shí)分析,如圖: 圖4-4 分頻器仿真延時(shí)由圖可知:f4,f16較時(shí)鐘信號(hào)延時(shí)6.7ns,接近半個(gè)周期。4.2.3 數(shù)據(jù)選擇器算法數(shù)據(jù)選擇器算法部分vhdl程序如下(完整程序見附錄): process(f1,f2,y) begin if(y=0) then z=f2 ; -頻率選擇 else z=f1; end if; end process;本程序算法十分簡單:當(dāng)基帶信號(hào)為高電平時(shí)選擇f1,低電平是選擇f2。本設(shè)計(jì)雖未要求相位連續(xù),但是為美觀起見,使用的信號(hào)有一定的倍數(shù)關(guān)系。 使用max+

46、plus軟件的vhdl文本輸入功能并進(jìn)行時(shí)序仿真,得到如下仿真圖: 圖4-5數(shù)據(jù)選擇器仿真由圖可以看出,基帶信號(hào)為高電平時(shí)選擇了f1,低電平是選擇了f2,fi周期為5ns,f2周期為20ns,基帶信號(hào)周期100ns。對(duì)波形進(jìn)行延時(shí)分析,如圖: 圖4-6數(shù)據(jù)選擇器仿真延時(shí)由圖可知:調(diào)制信號(hào)較f1延時(shí)7.5ns,較f2延時(shí)7.3ns,較基帶信號(hào)延時(shí)7.5ns4.2.4 解調(diào)器算法解調(diào)器算法部分vhdl程序如下(完整程序見附錄): process(start) begin if startevent and start = 1 then ca = 0; end if; end process; pr

47、ocess(z) begin if zevent and z = 1 then ca = ca + 1; end if; end process; process(start) begin if startevent and start = 0 then cb y y y y = 0; end case; end process本程序的算法是:系統(tǒng)檢測(cè)同步信號(hào)上升沿,同時(shí)計(jì)數(shù)器清零;當(dāng)調(diào)制信號(hào)處于上升沿時(shí),計(jì)數(shù)器開始計(jì)數(shù),每次上升沿時(shí),計(jì)數(shù)器加1;當(dāng)系統(tǒng)檢測(cè)同步時(shí)鐘的下降沿時(shí),檢測(cè)計(jì)數(shù)器的數(shù)值,當(dāng)計(jì)數(shù)器為0,1,2時(shí),基帶信號(hào)被賦值為0;當(dāng)計(jì)數(shù)器為3,4,5時(shí),基帶信號(hào)被賦值1。使用max+p

48、lus軟件的vhdl文本輸入功能并進(jìn)行時(shí)序仿真,得到如下仿真圖: 圖4-7解調(diào)器時(shí)序仿真由圖可以看出:高頻率對(duì)應(yīng)的基帶信號(hào)是高電平,低頻率對(duì)應(yīng)的基帶信號(hào)是低電平對(duì)波形進(jìn)行延時(shí)分析,如圖:圖4-8解調(diào)器仿真延時(shí)由圖可知:基帶信號(hào)y較同步信號(hào)start和調(diào)制信號(hào)z延時(shí)5us,即解調(diào)后的基帶信號(hào)延時(shí)同步信號(hào)半個(gè)周期;與設(shè)計(jì)相符。4.2.5 2fsk調(diào)制解調(diào)完整算法上面已經(jīng)將各個(gè)主要器件進(jìn)行了仿真,本節(jié)主要是將各器件組合在一起,進(jìn)行2fsk整體仿真。使用max+plus的原理圖輸入功能,將各個(gè)器件用導(dǎo)線連接;由于先前在仿真過程中,系統(tǒng)自動(dòng)生成了元器件,只需使用enter symbol功能,將器件調(diào)出即

49、可連線。start y f16clk f4yf16 zf4startz yclkmm序列產(chǎn)生器分頻器數(shù)據(jù)選擇器解調(diào)器下圖是各器件端口連接示意圖:圖4-9 端口連線 由于程序中已定義了端口名,故上圖的端口名不做修改。 下面對(duì)2fsk調(diào)制解調(diào)進(jìn)行第一次完整仿真。 參數(shù)設(shè)置: 同步信號(hào)start 為100khz; 時(shí)鐘信號(hào) clk 為 4mhz; 頻率1(即f4)為 1mhz ,占空比1/4; 頻率2(即f16)為 250khz , 占空比1/16; 基帶信號(hào)y(即m序列)為 1110010 。 下面是仿真圖: 圖4-10 2fsk調(diào)制解調(diào)時(shí)序仿真由圖可以看出:2fsk的調(diào)制解調(diào)非常成功,基帶信號(hào)的

50、調(diào)制很理想,其解調(diào)也很完美,調(diào)制前與解調(diào)后波形完全一致。由于設(shè)計(jì)要求頻率與占空比可變,故下面對(duì)2fsk調(diào)制解調(diào)進(jìn)行第二次完整仿真。 參數(shù)設(shè)置: 同步信號(hào)start 為100hz; 時(shí)鐘信號(hào) clk 為 40khz; 頻率1(即f4)為 10khz , 占空比1/2; 頻率2(即f16)為 250hz ,占空比1/2; 基帶信號(hào)y(即m序列)為 1110010 。 下面是仿真圖: 圖4-11 2fsk調(diào)制解調(diào)時(shí)序仿真由圖可以看出:2fsk的調(diào)制解調(diào)同樣非常成功,基帶信號(hào)的調(diào)制很理想,其解調(diào)也很完美,調(diào)制前與解調(diào)后波形完全一致。至此,基于fpga的2fsk調(diào)制解調(diào)設(shè)計(jì)全部結(jié)束。參 考 文 獻(xiàn)1徐志

51、軍,徐光輝 cpld/fpga 的開發(fā)與應(yīng)用 電子工業(yè)出版社,20022劉凌 胡永生 數(shù)字信號(hào)處理的fpga實(shí)現(xiàn) 清華大學(xué)出版社,20033hans gustat . frank herzel integrated fsk demodulator with very high sensitivity 20034周昊,宋文濤,羅漢文 一種基于的軟件無線電調(diào)制方案 清華大學(xué)出版社20025褚振勇,翁木云 fpga設(shè)計(jì)及應(yīng)用 西安電子科大出版社 20026羅衛(wèi)兵、孫樺、張捷,通信系統(tǒng)仿真設(shè)計(jì),西安電子科技大學(xué)出版社,20017郝莉、賈蓓莉,現(xiàn)代通信原理,20028隗永安 現(xiàn)代通信原理 西南交通大學(xué)出版社 20009丁玉美 高西全,數(shù)字信號(hào)處

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論