版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領
文檔簡介
1、東 北 石 油 大 學課 程 設 計課 程 eda技術課程設計 題 目 洗衣機控制器 院 系 電子科學學院 專業(yè)班級 電子信息工程 學生姓名 學生學號 指導教師 2012年 3 月2日東北石油大學課程設計任務書課程 eda技術課程設計題目 洗衣機控制器專業(yè) 電子信息工程 姓名 姜長劍 學號080901140922主要內(nèi)容、基本要求、主要參考資料等主要內(nèi)容:設計一個洗衣機控制器,要求洗衣機有正轉、反轉、暫停三種狀態(tài)。設定洗衣機的工作時間,要洗衣機在工作時間內(nèi)完成:定時啟動正轉20秒暫停10秒反轉20秒暫停10秒定時未到回到“正轉20秒暫停10秒”,定時到則停止,同時發(fā)出提示音?;疽螅?、設計
2、一個電子定時器,控制洗衣機作如下運轉:定時啟動正轉20秒暫停10秒反轉20秒暫停10秒定時未到回到“正轉20秒暫停10秒”,定時到則停止;2、若定時到,則停機發(fā)出音響信號;3、用兩個數(shù)碼管顯示洗滌的預置時間(分鐘數(shù)),按倒計時方式對洗滌過程作計時顯示,直到時間到停機;洗滌過程由“開始”信號開始;4、三只led燈表示“正轉”、“反轉”、“暫?!比齻€狀態(tài)。1 潘松著.eda技術實用教程(第二版). 北京:科學出版社,2005.2 康華光主編.電子技術基礎 模擬部分. 北京:高教出版社,2006.3 閻石主編.數(shù)字電子技術基礎. 北京:高教出版社,2003.完成期限 2012.3.12 指導教師 專
3、業(yè)負責人 2012年 2月27日一、總體設計思想1.基本原理首先用電路控制三只led顯示洗衣機正轉、反轉、暫停三種狀態(tài)。然后用電子定時器控制洗衣機設定的工作時間,以及正傳和反轉運行時間的控制。同時用兩個數(shù)碼管顯示洗滌的預置時間(按分鐘計數(shù)),按倒計時方式對洗滌過程作計時顯示,直到時間到停機;洗滌過程由“開始”信號開始;最后定時到則停止,同時用蜂鳴器發(fā)出提示音。通過各種開關組成控制電路,使洗衣機實現(xiàn)程序運轉。 直至結束為止。2.設計框圖洗衣機控制電路由定時輸入模塊,電機輸出模塊,電機時間控制模塊,數(shù)字顯示電路,倒計時模塊以及報警器模塊組成。 核心控制電路按鍵控制模塊電機控制模塊數(shù)字顯示模塊 報警
4、電路定時輸入模塊 倒計時模塊 圖一 二、設計步驟和調(diào)試過程1、總體設計電路 電路圖如下所示: 圖二2、模塊設計和相應模塊程序(1)定時輸入模塊:此模塊是為了實現(xiàn)洗衣機能夠定時輸入的功能,保證洗衣機能夠正常運行。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity shuru isport ( shu : in std_logic; hshu: in std_logic; din : in std_logic; dout:out st
5、d_logic_vector(3 downto 0); dout1:out std_logic_vector(3 downto 0); end shuru;architecture behavioral of shuru issignal count: std_logic_vector(3 downto 0);signal count1: std_logic_vector(3 downto 0);signal count2: std_logic_vector(3 downto 0);signal count3: std_logic_vector(3 downto 0);beginprocess
6、(shu,hshu,din)begindout=count;dout1=count1; if din=1 then dout=1111;dout1=1111; elsif rising_edge(shu) then if count=1001 then count=0000; else count=count+1; end if; end if; if rising_edge(hshu) then if count1=0110 then count1=0000; else count1=count1+1; end if; end if;end process;end behavioral;(2
7、)電機時間控制模塊: 此模塊由一個累加器和一個命令控制器組成,用來實現(xiàn)預置洗滌時間的功能,洗滌時間以分鐘數(shù)為單位,用戶可根據(jù)自己的需求來設定洗滌時間的長短。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity washmachine is port ( clk : in std_logic; c :out std_logic; d :out std_logic);end washmachine;architecture miao20
8、 of washmachine issignal count: std_logic_vector(2 downto 0); signal shi: integer range 0 to 60;beginprocess(clk)begin if rising_edge(clk) then if shi=60 then shi=0;c=1; else shi=shi+1;c=0; end if; if count=101 then count=000; d=1; else count=count+1; d=0; end if; end if; end process;end miao20;(3)電
9、機輸出模塊 此模塊是為了實現(xiàn)能夠控制洗衣機電機時間達到正轉、反轉、暫停的功能。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity dianji is port ( cc :in std_logic; dd :out std_logic_vector(1 downto 0); deng : out std_logic_vector(2 downto 0);end dianji;architecture di of dianji is
10、 signal count : std_logic_vector(2 downto 0); signal dian : std_logic_vector(1 downto 0); signal deng1 : std_logic_vector(2 downto 0);begin dd = dian; deng=deng1;process(cc)begin if rising_edge(cc) then if count = 101 then count = 000; else count = count+1; end if; if count=000 then dian=01;deng1=10
11、0; elsif count=010 then dian=00;deng1=010; elsif count=011 then dian=11 ;deng1 dout dout dout dout dout dout dout dout dout dout dout=1111111;end case;end process;end behavioral;(5)倒計時模塊: 由于洗衣機有工作時間,必須要一模塊來控制它的工作時間范圍,當洗衣機開始工作后,減法計數(shù)器即會實現(xiàn)減數(shù)功能,直到時間減到零,洗衣機便停止工作。library ieee;use ieee.std_logic_1164.all;u
12、se ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity hui is port ( jian : in std_logic; reset : in std_logic; din : in std_logic_vector(3 downto 0); dout : out std_logic_vector(3 downto 0); c:out std_logic);end hui;architecture behavioral of hui is signal count : std_logic_vector(3 dow
13、nto 0);begin dout = count;process(jian,reset,din)begin if reset=1then count = din ; c=0; elsif rising_edge(jian) then if count = 0000 then count = 1001; c=1; else count = count-1; c=0; end if; end if; end process;end behavioral;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;us
14、e ieee.std_logic_unsigned.all;entity hui1 is port ( jian : in std_logic; reset : in std_logic; din : in std_logic_vector(3 downto 0); dout : out std_logic_vector(3 downto 0); c:out std_logic);end hui1;architecture behavioral of hui1 is signal count : std_logic_vector(3 downto 0);begin dout = count;p
15、rocess(jian,reset,din)begin if reset=1then count = din ; c=0; elsif rising_edge(jian) then if count = 0000 then c=1; else count = count-1; c=0; end if; end if; end process;end behavioral;(6) 報警器模塊: 當洗滌時間結束時,洗衣機就會自動發(fā)出警報聲,以此提醒用戶洗滌工作完成,此模塊就是實現(xiàn)此功能。 library ieee; use ieee.std_logic_1164.all;use ieee.std_
16、logic_undigned.all;entity warming isport(clk:in std_logic; finishc: in std_logic; warn:out std_logic); end warming; architecture six of warming is begin process(clk)beginif finishc=1then warn=clk;else warn=0;end if;end process;end;3、仿真及仿真結果分析 eda工具在eda技術應用中占據(jù)極其重要的位置,eda的核心是利用計算機完成電子設計全程自動化,因此基于計算機環(huán)境
17、的eda軟件的支持是必不可少的。此次設計所用eda工具是由著名的alter公司生產(chǎn)的maxplus工具軟件,它是一種集成的開發(fā)環(huán)境,支持原理圖、vhdl和verilog語言文本文件,以及波形文件作為設計輸入,并支持這些文件的人以混合設計。(1)定時輸入仿真:(2)電機時間控制模塊:(3)電機仿真:(4)數(shù)碼顯示仿真:(5)倒計時仿真:(6)總體仿真:4、實驗調(diào)試結果 通過幾天的調(diào)試,調(diào)試結果達到了設計要求。實現(xiàn)功能如下:定時啟動正轉20秒暫停10秒反轉20秒暫停10秒定時未到回到“正轉20秒暫停10秒”,時間控制正常。三只led管也能顯示“正轉”、“反轉”、“暫?!比齻€狀態(tài)。運轉時,數(shù)碼管也能
18、顯示倒計時間。最后當定時達到時,蜂鳴器發(fā)出響聲報警。三、結論及心得體會通過這次課程設計使我懂得了理論與實際相結合是很重要的,只有理論知識是遠遠不夠的,只有把所學的理論知識與實踐相結合起來,從理論中得出結論,才能真正地更好去理解知識,從而提高自己的實際動手能力和獨立思考的能力。從查閱相關的資料到自己設計總體方案,每一個環(huán)節(jié)都是在對以往知識學習掌握的復習,歸納總結以及提升,eda以硬件描述語言vhdl為系統(tǒng)描述手段完成的設計文件,自動的完成邏輯編譯,邏輯化簡,邏輯分割,邏輯綜合。結構綜合,以及邏輯優(yōu)化和仿真測試,直至實現(xiàn)既定的電子線路系統(tǒng)功能。在設計過程中,我意識到了自己對專業(yè)知識的不足之處。 這就要求我不斷的去復習課本,以便更好的來完成本次課程設計。但是,在進行編譯運行時,就出現(xiàn)了諸多錯誤。使得整個程序無法繼續(xù)運行。這時自己就得有充足的耐心,一點點的調(diào)試,檢查。參考資料1 潘松著.eda技術實用教程(第二版). 北京:科學出版社,2005.2 康華光主編.電子技術基礎 模擬部分. 北京:高教出版社,2006.3 閻石主編.數(shù)字電子技術基礎. 北京:高教出版社,2003.4 譚會生,張昌凡.eda技術及應用.西安:西安電子科技大學出版社,2001.5 潘松,黃繼業(yè).eda技術實用教程.北京:科學出
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2021年遼寧省大連市公開招聘警務輔助人員輔警筆試自考題2卷含答案
- 2021年遼寧省朝陽市公開招聘警務輔助人員輔警筆試自考題2卷含答案
- 《我的大學》讀書心得
- 新疆和田地區(qū)(2024年-2025年小學六年級語文)部編版課后作業(yè)(下學期)試卷及答案
- 湖南省湘潭市(2024年-2025年小學六年級語文)部編版能力評測(上學期)試卷及答案
- 2025年氨綸項目申請報告
- 廣東省陽江市(2024年-2025年小學六年級語文)部編版小升初真題(下學期)試卷及答案
- 整治方案3篇資料
- 2025年UV激光切割機項目申請報告模板
- 2025年新型功能材料項目申請報告模板
- 關于培訓的課件
- 2024上海市房屋租賃合同范本下載
- 2024消防安全警示教育(含近期事故案例)
- Starter Section 1 Meeting English 說課稿 -2024-2025學年北師大版(2024)初中英語七年級上冊
- 2025年蛇年年度營銷日歷營銷建議【2025營銷日歷】
- 2024年法律職業(yè)資格考試(試卷一)客觀題試卷及解答參考
- 2024-2025學年北師大版七年級上冊數(shù)學期末專項復習:期末壓軸題分類(原卷版)
- 2024年全國《汽車加氣站操作工》安全基礎知識考試題庫與答案
- 2024-2025學年北師大版小學六年級上學期期末英語試卷及解答參考
- 食堂項目經(jīng)理培訓
- 2024年人教版八年級道德與法治下冊期末考試卷(附答案)
評論
0/150
提交評論