




版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、EDA技術(shù)概論實(shí)驗(yàn)指導(dǎo)書中國(guó)海洋大學(xué)工程學(xué)院(自動(dòng)化實(shí)驗(yàn)中心)編者:宋大雷 王建國(guó)學(xué) 生 實(shí) 驗(yàn) 守 則一、 實(shí)驗(yàn)前要認(rèn)真預(yù)習(xí),明確實(shí)驗(yàn)內(nèi)容、原理、目的、步驟和注意事項(xiàng);課外實(shí)驗(yàn)研究項(xiàng)目,實(shí)驗(yàn)前應(yīng)擬定實(shí)驗(yàn)方案,并經(jīng)實(shí)驗(yàn)室管理人員審查同意方可實(shí)施;二、 學(xué)生在教師的指導(dǎo)下自主進(jìn)行實(shí)驗(yàn),要嚴(yán)格遵守儀器設(shè)備操作規(guī)程,節(jié)約使用實(shí)驗(yàn)材料和水、電、氣,如實(shí)記錄實(shí)驗(yàn)現(xiàn)象、數(shù)據(jù)和結(jié)果,認(rèn)真分析,獨(dú)立完成實(shí)驗(yàn)報(bào)告;三、 愛護(hù)儀器設(shè)備及其他設(shè)施、物品,不得擅自動(dòng)用與實(shí)驗(yàn)無關(guān)的儀器設(shè)備和物品;不準(zhǔn)擅自將實(shí)驗(yàn)室的物品帶出室外;損壞或遺失儀器設(shè)備及其他設(shè)施、物品,應(yīng)按學(xué)校有關(guān)規(guī)定進(jìn)行賠償;四、 實(shí)驗(yàn)完畢后,要及時(shí)關(guān)閉電
2、源、水源、氣源,清理衛(wèi)生,將儀器設(shè)備和實(shí)驗(yàn)物品復(fù)位,經(jīng)指導(dǎo)老師檢查合格后方可離開;五、 注意安全,熟悉安全設(shè)施和事故處理措施,實(shí)驗(yàn)過程中發(fā)現(xiàn)異常情況要及時(shí)報(bào)告;發(fā)生危險(xiǎn)時(shí),應(yīng)立即關(guān)閉電源、水源、氣源,并迅速撤離;規(guī)范處理實(shí)驗(yàn)廢液、廢氣和固體廢棄物;六、 遵守紀(jì)律,必須按規(guī)定或預(yù)約時(shí)間參加實(shí)驗(yàn),不得遲到、早退、曠課;保持實(shí)驗(yàn)室安靜,不準(zhǔn)大聲喧嘩、嬉鬧,不準(zhǔn)從事與實(shí)驗(yàn)無關(guān)的活動(dòng);保持實(shí)驗(yàn)室清潔,不準(zhǔn)吸煙,不準(zhǔn)隨地吐痰、亂扔雜物。目 錄實(shí)驗(yàn)一、使用Protel設(shè)計(jì)穩(wěn)壓電源電路1實(shí)驗(yàn)二、使用Quartus(MAX+PLUS)設(shè)計(jì)同步計(jì)數(shù)器5實(shí)驗(yàn)三、使用QUARTUS(MAX+PLUS)的層次設(shè)計(jì)方法設(shè)
3、計(jì)4位全加器12實(shí)驗(yàn)四、簡(jiǎn)易電子琴的設(shè)計(jì)1521實(shí)驗(yàn)一 使用Protel設(shè)計(jì)穩(wěn)壓電源電路一、 實(shí)驗(yàn)?zāi)康恼莆誔rotel的基本操作,熟悉原理圖繪制工具,能夠?qū)﹄娐愤M(jìn)行ERC檢查,檢查電路中出現(xiàn)的錯(cuò)誤,學(xué)會(huì)原理圖的繪制,生成元件清單、生成網(wǎng)絡(luò)表,理解網(wǎng)絡(luò)表的構(gòu)成和作用。能繪制PCB板,學(xué)會(huì)PCB板中元件的布局以及布線(自動(dòng)布線及手工布線),并進(jìn)行PCB板的打印。二、 實(shí)驗(yàn)內(nèi)容一種穩(wěn)壓電源電路如圖1所示,對(duì)電路的說明如下。圖1 穩(wěn)壓電源的原理圖1直流穩(wěn)壓電源的作用及組成電子設(shè)備都需要穩(wěn)定的直流電源。功率較小的直流電源大多數(shù)都是將50Hz的交流電經(jīng)過整流、濾波和穩(wěn)壓后獲得。整流電路用來將交流電壓交換為
4、單項(xiàng)脈動(dòng)的直流電壓;濾波電路用來濾除整流后單向脈沖電壓中的交流成分,變成平滑的直流電壓;穩(wěn)壓電路的作用是當(dāng)輸入交流電壓波動(dòng)時(shí)、負(fù)載和溫度變化時(shí),維持輸出直流電壓的穩(wěn)定。小功率直流電源有電壓變壓器、整流、濾波電路和穩(wěn)壓電路組成。隨著人們對(duì)電的要求水平的提高,對(duì)直流電源的主要要求是輸入電壓變化以及負(fù)載變化時(shí),輸出電壓應(yīng)保持穩(wěn)定,即使直流的電壓調(diào)整及輸出電阻越小越好,同時(shí)也要求紋波電壓要小。 2電路工作原理220v市電經(jīng)變壓器輸出9v交流,經(jīng)橋式整流,大電容濾波得到9v電壓,再加一個(gè)0.1uf小電容濾除電源中的高頻分量??紤]到制作過程中電源空載使得電容放電,可在輸出電容并上1KW大功率電阻,另外還要
5、給7805來獲得5v電壓,萬一輸出短路,大電容會(huì)使穩(wěn)壓塊由于反電流沖擊而破壞,加一個(gè)二極管可使反向電流流向輸入端,從而起到保護(hù)作用。3整流部分 此實(shí)驗(yàn)的整流部分主要采用橋式電路,即由四個(gè)二極管交叉而成。但使用二極管時(shí)應(yīng)注意以下問題:1) 最大整流電路 If指二極管長(zhǎng)期運(yùn)行允許通過的最大正向平均電流。若使用時(shí)超過此值,有可能燒壞二極管。2) 最高反向工作電壓Urm指允許施加在二極管兩端的最大方向電壓通常為擊穿電壓的一半。3) 反向電流Ir指二極管未擊穿時(shí)的反向電流值。其值會(huì)隨溫度的升高而急劇增加,其值越小,二極管的單向?qū)щ娦栽胶?。但是反向電流值?huì)隨溫度的上升而顯著增加。4) 最高工作頻率f指保證
6、二極管單向?qū)щ姇r(shí)的最高導(dǎo)電頻率。當(dāng)工作頻率超過其限度時(shí),二極管的單向?qū)щ娦阅芫蜁?huì)變差。橋式整流電路相當(dāng)于理想二極管,即正偏時(shí)導(dǎo)通,電壓降為零,相當(dāng)于理想開關(guān)閉合;反偏時(shí)截止,電流為零,相當(dāng)于理想開關(guān)斷開。整流電路包括單向半波整流電路和橋式整流電路。半波整流電路結(jié)構(gòu)簡(jiǎn)單,使用元件少,但整流效率低,輸出電壓脈動(dòng)大。因此,它只適用于要求不高的場(chǎng)合。為了克服半波整流的缺點(diǎn),常采用橋式整流電路。 4 濾波部分 整流電路將交流電變?yōu)槊}動(dòng)直流電,但其中含有大量的交流成分(稱為紋波電壓)。為了獲得平滑的直流電壓,應(yīng)在整流電路的后面加接濾波電路,以濾去交流部分。此電路采用的是電容濾波電路,即在橋式整流電路輸出端
7、與負(fù)載之間并聯(lián)一個(gè)大電容。原理如下:在整流電路采用電容濾波后使二極管得到的時(shí)間縮短,由于電容C充電的瞬時(shí)電流較大,形成了浪涌電流,容易損壞二極管,故在選擇二極管時(shí),必須留有足夠的電流裕量,以免燒壞。5 穩(wěn)壓部分 穩(wěn)壓器采用LM7805系列即輸出電壓值5伏,屬于三段固定輸出集成穩(wěn)壓,兩邊輸入輸出中間接地。為了防止電流或電壓過大用二極管并聯(lián)穩(wěn)壓器,當(dāng)電流、電壓過大時(shí)二極管起到開關(guān)作用對(duì)其進(jìn)行保護(hù)。 三、 實(shí)驗(yàn)儀器計(jì)算機(jī),打印機(jī),Protel軟件包四、實(shí)驗(yàn)步驟1畫出它的原理圖。2畫好圖后:(1)請(qǐng)進(jìn)行電氣規(guī)則檢查(選擇Tools/ERC菜單)。(2)請(qǐng)做元件表(選擇Report/Bill of Ma
8、terial或Edit/Export to Spread菜單)(3)請(qǐng)做網(wǎng)絡(luò)表(選擇Design/Create NetList)3按設(shè)計(jì)要求做成PCB圖。 (1)先自動(dòng)布線,再手工調(diào)整(2)電路板設(shè)計(jì)完成后應(yīng)進(jìn)行DRC檢測(cè),并根據(jù)報(bào)告的錯(cuò)誤提示進(jìn)行調(diào)整, 直到無錯(cuò)誤為止。(3)PCB四角分別添加放置安裝孔,安裝孔直徑為3mm。 (4)PCB板的底層放置填充。 (5)在電路板上放置過孔,注意修改過孔的尺寸,外徑為60mil,內(nèi)徑為30mil。 (6)在電路板上鋪銅,要求柵格為20mil,銅膜線寬度為10mil,鋪銅層為頂層,鋪銅網(wǎng)線形式為45度,使用八角形狀環(huán)繞焊盤。 4打印PCB板,PCB板的
9、頂層(要求帶焊盤、過孔、元件輪廓),PCB板的絲印層及禁止布線層。五、實(shí)驗(yàn)報(bào)告要求試設(shè)計(jì)該電路的電路板。設(shè)計(jì)要求: (1)按電路圖1畫出原理圖電路,元件參數(shù)見參數(shù)表1。 (2)使用單層電路板,在電路板中網(wǎng)絡(luò)地(GND)的線寬選1mm,netj1-1 與netj1-2線寬選1mm,電源網(wǎng)絡(luò)(VCC)的線寬選0.8mm,其余線寬均采用缺省設(shè)置(0.3mm),最小安全間距為12mil。 (3)在電路板上鋪銅,要求柵格為30mil,銅膜線寬度為8mil,鋪銅層為底層,鋪銅網(wǎng)線形式為45度,使用八角形狀環(huán)繞焊盤。 (4)電路板設(shè)計(jì)進(jìn)行打印輸出表1 元器件參數(shù)表圖2. 自動(dòng)布線參考電路圖3. 手動(dòng)調(diào)整參考
10、電路實(shí)驗(yàn)二 使用Quartus(MAX+PLUS)設(shè)計(jì)同步計(jì)數(shù)器一、實(shí)驗(yàn)?zāi)康?、掌握QUARTUS(MAX+PLUS)的基本使用。2、掌握QUARTUS(MAX+PLUS)的設(shè)計(jì)處理過程中的編譯和仿真。3、掌握QUARTUS(MAX+PLUS)的設(shè)計(jì)處理過程中的三種定時(shí)分析模式。二、實(shí)驗(yàn)內(nèi)容1、在圖形編輯軟件中,進(jìn)行調(diào)入元件符號(hào)、放置元件、連線、放置輸入輸出引腳及放置節(jié)點(diǎn)標(biāo)號(hào)等操作。2、設(shè)計(jì)項(xiàng)目的建立與設(shè)計(jì)輸入,設(shè)計(jì)60十進(jìn)制同步計(jì)數(shù)器。3、設(shè)計(jì)項(xiàng)目的編譯。4、設(shè)計(jì)項(xiàng)目的仿真。5、 QUARTUS(MAX+PLUS)的定時(shí)分析及器件編程-延時(shí)矩陣分析模式和建立/保持矩陣分析模式。圖11、在時(shí)序
11、電路中,計(jì)數(shù)器的應(yīng)用十分普遍,例如常用的分頻電路都是由計(jì)數(shù)器構(gòu)成,在狀態(tài)機(jī)中也經(jīng)常用到計(jì)數(shù)器。設(shè)計(jì)一個(gè)60十進(jìn)制同步計(jì)數(shù)器,可應(yīng)用于鐘表電路中。電路主要由兩個(gè)十進(jìn)制同步計(jì)數(shù)器74LS160組成。2、實(shí)驗(yàn)電路圖如圖1所示。圖2 MAX+PLUS II集成編譯器窗口3、MAX+PLUSII為設(shè)計(jì)提供了一個(gè)集成編譯器環(huán)境,在集成編譯器中可進(jìn)行網(wǎng)絡(luò)表提取、數(shù)據(jù)庫(kù)編碼、邏輯綜合、分割、適配、定時(shí)時(shí)間提取、匯編等功能。在MAX+PLUSII管理窗口運(yùn)行MAX+PLUSII/Compiler菜單命令,則出現(xiàn)集成編譯器窗口,如圖2所示。選擇Start按鈕即可以開始編譯,編譯器運(yùn)行時(shí),每運(yùn)行到一個(gè)功能塊,下面的
12、指示線變成紅色。MAX+PLUSII編譯器將檢查設(shè)計(jì)項(xiàng)目是否有錯(cuò),并對(duì)設(shè)計(jì)項(xiàng)目進(jìn)行邏輯綜合,然后配置到一個(gè)已選擇好的Altera器件中,同時(shí)將產(chǎn)生報(bào)告文件、編程文件和用于時(shí)間仿真用的輸出文件。4、設(shè)計(jì)輸入和編譯完成后,并不代表設(shè)計(jì)就是成功的。編譯的成功只能保證為項(xiàng)目創(chuàng)建一個(gè)編程文件,保證了設(shè)計(jì)輸入的基本正確性,而不能保證該項(xiàng)目的邏輯關(guān)系的正確性,也不能保證時(shí)序的正確性。仿真作為項(xiàng)目驗(yàn)證的一種手段,和項(xiàng)目設(shè)計(jì)、項(xiàng)目驗(yàn)證一樣重要。MAX+PLUSII提供的仿真功能帶給設(shè)計(jì)者很多的方便。仿真包括功能仿真和時(shí)序(模擬)仿真。功能仿真,又稱前仿真,是在不考慮器件延時(shí)的理想情況下的一種項(xiàng)目驗(yàn)證方法,通過功
13、能仿真來驗(yàn)證一個(gè)項(xiàng)目的邏輯功能是否正確。時(shí)序仿真又稱模擬仿真或后仿真,是在考慮設(shè)計(jì)項(xiàng)目具體適配器件的各種延時(shí)的情況下的一種項(xiàng)目驗(yàn)證方法。時(shí)序仿真不僅測(cè)試邏輯功能,還測(cè)試目標(biāo)器件最差情況下的時(shí)間關(guān)系。因此電路設(shè)計(jì)經(jīng)過時(shí)序仿真后,基本上能夠達(dá)到設(shè)計(jì)要求。5、QUARTUS(MAX+PLUS)定時(shí)分析器提供了三種分析模式,這三種分析模式分別是:(1)延時(shí)矩陣分析模式:分析多個(gè)源節(jié)點(diǎn)和目標(biāo)節(jié)點(diǎn)之間的傳輸路徑延時(shí)時(shí)間;(2)分析時(shí)序電路的性能,包括性能上有限定值的延時(shí)、最小時(shí)鐘周期和最高工作頻率等;(3)計(jì)算從輸入引腳到觸發(fā)器和鎖存器的信號(hào)輸入所需要的最小的建立時(shí)間和保持時(shí)間。三、實(shí)驗(yàn)儀器1、計(jì)算機(jī),2
14、、QUARTUS(MAX+PLUS)工具軟件四、實(shí)驗(yàn)步驟1、建立并編輯圖形設(shè)計(jì)文件(1)啟動(dòng)QUARTUS(MAX+PLUS)軟件,進(jìn)入QUARTUS(MAX+PLUS)管理窗口。進(jìn)行設(shè)計(jì)項(xiàng)目的建立。(2)在QUARTUS(MAX+PLUS)管理窗口的File菜單下選擇New選項(xiàng)或單擊按鈕,然后再選擇Graphic Editor file后,單擊OK按鈕,可建立圖形輸入文件。(3)從庫(kù)中調(diào)入元件符號(hào)。在圖形編輯區(qū)內(nèi)雙擊鼠標(biāo)左鍵或單擊鼠標(biāo)右鍵,在隨后彈出的菜單中選擇Enter Symbol即可直接鍵入元件名,調(diào)出元件,也可以選擇元件庫(kù)。QUARTUS(MAX+PLUS)提供了4種庫(kù)文件,其功能見
15、表1所示。表1 圖形編輯器提供的庫(kù)功能名 稱功 能內(nèi) 容prim基本圖元庫(kù)基本邏輯塊、輸入輸出引腳mf邏輯宏功能庫(kù)74系列邏輯元件Mega_lpm可調(diào)參數(shù)庫(kù)參數(shù)化模塊庫(kù)、兆功能模塊、核模塊edif接口庫(kù)邏輯電路接口(4)單擊連線工具欄的按鈕,光標(biāo)變成,“+”形,單擊連線起始端按住鼠標(biāo)左鍵拖動(dòng)至結(jié)束端松開,連線結(jié)束。若要?jiǎng)h除某連線,可單擊連線使其變成高亮(紅色),再按,Delete鍵,即可刪除。(5)圖形編輯時(shí),在連線復(fù)雜的地方(總線),可用節(jié)點(diǎn)標(biāo)號(hào)來連線。用節(jié)點(diǎn)標(biāo)號(hào)連線不區(qū)分大小寫。(6)放置輸入輸出引腳。在符號(hào)庫(kù)中調(diào)入元件符號(hào)對(duì)話框中,鍵入,input”或,output”即可將輸入或輸出引腳
16、添加到電路圖中,新放置的輸入輸出引腳需要修改引腳名稱。(7) 在QUARTUS(MAX+PLUS)管理窗口選擇File/Project/Save & Check命令,或單擊按鈕,可將編輯的文件存盤并運(yùn)行集成編譯器的網(wǎng)表提取器模塊檢查文件的錯(cuò)誤,如果有錯(cuò)誤則給出錯(cuò)誤和警告的位置信息。如果有錯(cuò)誤,則返回編輯區(qū)內(nèi)修改,直到?jīng)]有錯(cuò)誤為止。2、打開編輯好的圖形設(shè)計(jì)文件,在開始編譯前必須要設(shè)置以下一些選項(xiàng)。(1)器件的選擇(2)保密位的設(shè)置(3)啟用設(shè)計(jì)規(guī)則檢查工具(4)鎖定管腳(5)選擇全局項(xiàng)目邏輯綜合方式(6)設(shè)置全局定時(shí)要求(7)網(wǎng)表文件的提取(8)報(bào)告文件的設(shè)置3、設(shè)計(jì)項(xiàng)目的仿真(1)仿真
17、通道文件的創(chuàng)建QUARTUS(MAX+PLUS)是通過建立一個(gè)仿真通道文件(.scf)來完成仿真功能的。仿真通道文件就是通過波形編輯器輸入激勵(lì)波形為仿真器提供輸入向量。在對(duì)某一項(xiàng)目進(jìn)行仿真時(shí),仿真器根據(jù)輸入結(jié)點(diǎn)的邏輯電平算出并重寫未定義的隱含結(jié)點(diǎn)和輸出結(jié)點(diǎn)的邏輯電平。(2)仿真通道文件的編輯仿真通道文件的編輯包括設(shè)定時(shí)間軸長(zhǎng)度、設(shè)定時(shí)間網(wǎng)格大小顯示網(wǎng)格、輸入節(jié)點(diǎn)、編輯輸入結(jié)點(diǎn)的信號(hào)波形和存盤并保存默認(rèn)仿真文件and.scf文件等幾個(gè)步驟。60十進(jìn)制同步計(jì)數(shù)器仿真通道文件的編輯參見圖3。(3)設(shè)計(jì)項(xiàng)目的仿真打開仿真器窗口要選擇菜單命令QUARTUS(MAX+PLUS)/Simulator或單擊按
18、鈕,即打開仿真器,在仿真對(duì)話框中單擊Start按紐,即可進(jìn)行仿真。(4)仿真結(jié)果的分析圖3是60十進(jìn)制同步計(jì)數(shù)器的仿真結(jié)果。通過輸出結(jié)果檢查輸入端與輸出端之間的關(guān)系是否正確。圖3 60十進(jìn)制同步計(jì)數(shù)器的仿真3 定時(shí)分析 選擇默認(rèn)的延時(shí)矩陣分析模式,打開定時(shí)分析器窗口運(yùn)行定時(shí)分析器,可看到源節(jié)點(diǎn)和目標(biāo)節(jié)點(diǎn)之間的傳輸路徑延時(shí)時(shí)間。60十進(jìn)制同步計(jì)數(shù)器的延時(shí)矩陣分析結(jié)果如圖4所示。圖4 延時(shí)矩陣分析模式選擇建立/保持矩陣分析模式,打開定時(shí)分析器窗口運(yùn)行定時(shí)分析器,可看到60十進(jìn)制同步計(jì)數(shù)器的建立/保持矩陣分析結(jié)果,如圖5所示。圖5 建立/保持矩陣分析結(jié)果選擇分析時(shí)序電路的性能定時(shí)分析模式打開定時(shí)分析
19、器窗口運(yùn)行定時(shí)分析器,如圖6所示??煽吹?0十進(jìn)制同步計(jì)數(shù)器的時(shí)鐘周期為15.3ns,最佳工作頻率為65.35MHz。圖6分析時(shí)序電路的性能定時(shí)分析模式五、實(shí)驗(yàn)報(bào)告要求1、 將編輯的文件存盤并運(yùn)行檢查文件。在圖7所示的對(duì)話框中可檢查編輯的結(jié)果。如果有錯(cuò)誤,則根據(jù)的提示信息找到錯(cuò)誤進(jìn)行改正。如果信息欄中沒有錯(cuò)誤,則可認(rèn)為設(shè)計(jì)的圖形文件正確。圖7 存盤并檢查信息窗口2、觀察 60十進(jìn)制同步計(jì)數(shù)器的編譯結(jié)果。用平面規(guī)劃圖觀察器件管腳鎖定的狀態(tài)。3、觀察 60十進(jìn)制同步計(jì)數(shù)器的仿真結(jié)果。如果有競(jìng)爭(zhēng)冒險(xiǎn)出現(xiàn),根據(jù)延時(shí)分析矩陣找出競(jìng)爭(zhēng)冒險(xiǎn)出現(xiàn)的時(shí)間。根據(jù)建立/保持矩陣分析寄存器的建立時(shí)間和保持時(shí)間。實(shí)驗(yàn)三
20、 使用QUARTUS(MAX+PLUS)的層次設(shè)計(jì)方法設(shè)計(jì)4位全加器一、實(shí)驗(yàn)?zāi)康?、進(jìn)一步掌握QUARTUS(MAX+PLUS)的基本使用,包括設(shè)計(jì)的輸入、編譯和仿真。2、掌握QUARTUS(MAX+PLUS)的層次化設(shè)計(jì)方法。二、實(shí)驗(yàn)內(nèi)容1、設(shè)計(jì)底層文件一個(gè)一位半加器。2、設(shè)計(jì)頂層文件一個(gè)一位全加器。3、設(shè)計(jì)頂層文件4位全加器。4、設(shè)計(jì)文件的層次顯示。計(jì)算機(jī)中數(shù)的操作都是以二進(jìn)制進(jìn)位的,最基本的運(yùn)算就是加法運(yùn)算。按照進(jìn)位是否加入,加法器分為半加器和全加大路兩種。計(jì)算機(jī)中的異或指令的功能就是求兩個(gè)操作數(shù)各位的半加和。一位半加器有兩個(gè)輸入和輸出,其電路原理如圖1所示。表1 半加器真值表輸入輸出B
21、iAiHiCi0000011010101101一個(gè)半加電路的真值表如表1所示,根據(jù)真值表可得到半加器的函數(shù)表達(dá)式: 一位全加器由2個(gè)半加器組成,其電路原理如圖2所示。頂層設(shè)計(jì)文件4位全加器右4個(gè)全加器組成,其電路原理如圖3所示。圖1一位半加器原理圖圖2一位全加器原理圖圖3 4位全加器原理圖三、實(shí)驗(yàn)儀器1、計(jì)算機(jī)2、QUARTUS(MAX+PLUS)工具軟件四、實(shí)驗(yàn)步驟1、設(shè)計(jì)底層文件,用圖形輸入法編輯一位半加器原理圖,如圖1所示。編輯完后,存盤并檢查錯(cuò)誤,最后進(jìn)行編譯、仿真并生成一個(gè)默認(rèn)符號(hào)h_adder。2、設(shè)計(jì)頂層文件1,用已經(jīng)生成的半加器符號(hào)編輯一個(gè)全加器。如圖2所示。編輯完后,存盤并檢
22、查錯(cuò)誤,最后進(jìn)行編譯、仿真并生成一個(gè)默認(rèn)符號(hào)f_adder。3 設(shè)計(jì)頂層文件2,用已經(jīng)生成的全加器符號(hào)編輯一個(gè)4位全加器。如圖3所示。編輯完后,存盤并檢查錯(cuò)誤,最后進(jìn)行編譯、仿真并生成一個(gè)默認(rèn)符號(hào)adder41。4 在最頂層項(xiàng)目文件里打開層次顯示窗口可觀察adder41項(xiàng)目的層次結(jié)構(gòu)。選擇菜單命令QUARTUS(MAX+PLUS)/Hierarchy Display(層次顯示)或單擊按鈕,即打開層次顯示窗口,顯示出adder41的層次樹結(jié)構(gòu),如圖4所示。在層次結(jié)構(gòu)圖中,可以清楚的看到adder41文件中包涵了1個(gè)h_adder符號(hào)和3個(gè)f_adder符號(hào)。而一個(gè)f_adder文件中又包涵了2個(gè)
23、h_adder符號(hào)。圖4 adder41的層次結(jié)構(gòu)顯示五、實(shí)驗(yàn)報(bào)告要求1 記錄并觀察 一位半加器的編譯和仿真結(jié)果。2 記錄并觀察一位全加器的編譯和仿真結(jié)果。3 記錄并觀察4位全加器的編譯和仿真結(jié)果。4 打開層次顯示窗口可觀察adder41項(xiàng)目的層次結(jié)構(gòu)。實(shí)驗(yàn)四 簡(jiǎn)易電子琴的設(shè)計(jì)一、實(shí)驗(yàn)?zāi)康牧私夥讲òl(fā)生原理及音樂播放原理,熟悉分頻器和譯碼器的編寫及使用方法,設(shè)計(jì)一個(gè)簡(jiǎn)易的自動(dòng)音樂播放器,使之自動(dòng)播放音樂和顯示音符與音調(diào),了解音符長(zhǎng)短和空音節(jié)的控制方法,同時(shí)了解如何預(yù)制數(shù)據(jù)量和DA的原理。二、實(shí)驗(yàn)內(nèi)容設(shè)計(jì)要求:1、鍵盤由9個(gè)鍵組成,分別為1,2,3,4,5,6,7和高中低音切換鍵。2、S1S7:按
24、此鍵發(fā)哆、來、 咪、發(fā)、嗦、啦、西。3、S9 S8 :“11”對(duì)應(yīng)中音區(qū),“10”對(duì)應(yīng)低音區(qū),“01”對(duì)應(yīng)高音區(qū)。1、設(shè)計(jì)思想硬件設(shè)計(jì):在Quartus2中利用VHDL語言設(shè)計(jì)一個(gè)顯示模塊,用它將按鍵輸入值送LED顯示;另外添加鎖相環(huán)和延時(shí)模塊,分別用于調(diào)制系統(tǒng)時(shí)鐘和對(duì)復(fù)位按鍵產(chǎn)生延時(shí)。軟件設(shè)計(jì):在Quartus2的集成開發(fā)環(huán)境IDE中利用C語言編程實(shí)現(xiàn)電子琴演奏功能。主要包括按鍵掃描,分頻數(shù)設(shè)定,頻數(shù)轉(zhuǎn)換波形,送顯示等。模塊名稱:SDRAM_PLL 鎖相環(huán)模塊 DELAY_RESET_BLOCK 延時(shí)模塊 NIOS32 含NIOS的IP核 SEL_EN_BCD_7SEG 數(shù)碼管顯示模塊2、設(shè)
25、計(jì)框圖:含有CPU的IP核集成模塊6位按鍵輸入LED顯示模塊D/A控制口三、實(shí)驗(yàn)儀器1、計(jì)算機(jī),MaxPlusII或Quartus2軟件包2、EDA實(shí)驗(yàn)儀:(1)主芯片:EP1C6Q240C8N。(2)八個(gè)七段掃描顯示數(shù)碼管。(3)SDRAM。(4)9個(gè)按鍵。(5)一個(gè)揚(yáng)聲器。四、實(shí)驗(yàn)步驟1、硬件設(shè)計(jì)(1) 用SoPC Builder 系統(tǒng)綜合軟件來選取合適的CPU、存儲(chǔ)器以及外圍器件(如片內(nèi)存儲(chǔ)器、PIO、定時(shí)器和片外存儲(chǔ)器接口),并定制它們的功能。 生成后在方塊圖中調(diào)用:(2) 用VHDL語言設(shè)計(jì)顯示模塊,添加鎖相環(huán)和延時(shí)模塊。 (3)使用Quartus II軟件選取目標(biāo)器件并對(duì)NIOS
26、II系統(tǒng)上的各種I/O口分配管腳,存盤編譯。(4)使用Byteblastermv下載電纜,將配置文件下載到開發(fā)板上。下載完硬件配置文件后,就可以把此開發(fā)板作為軟件開發(fā)的初期硬件平臺(tái)進(jìn)行軟件功能的開發(fā)驗(yàn)證了。2、軟件設(shè)計(jì)(1)在用SoPC Builder系統(tǒng)集成軟件進(jìn)行硬件設(shè)計(jì)的同時(shí),就可以開始編寫?yīng)毩⒂谄骷腃/C+軟件。 (2)使用NIOS II IDE對(duì)軟件工程進(jìn)行編譯調(diào)試。(3)將硬件設(shè)計(jì)下載到開發(fā)板上后,就可以將軟件下載到開發(fā)板上并在硬件上運(yùn)行。 五、實(shí)驗(yàn)報(bào)告要求實(shí)現(xiàn)設(shè)計(jì)要求:1、鍵盤由9個(gè)鍵組成,分別為1,2,3,4,5,6,7和高中低音切換鍵。2、S1S7:按此鍵發(fā)哆、來、 咪、發(fā)
27、、嗦、啦、西。3、S9 S8 :“11”對(duì)應(yīng)中音區(qū),“10”對(duì)應(yīng)低音區(qū),“01”對(duì)應(yīng)高音區(qū)。完成系統(tǒng)調(diào)試并記錄調(diào)試過程和調(diào)試結(jié)果以及遇到的問題和解決方法。附:SEL_EN_BCD_7SEG模塊VHDL程序:Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;use ieee.numeric_std.all;-*entity sel_en_bcd_7seg is port(bcd:in std_logic_vector(10 downto 0);
28、 clk:in std_logic; g_a:out std_logic_vector(10 downto 0);end sel_en_bcd_7seg;-*architecture a of sel_en_bcd_7seg issignal bcdin:std_logic_vector(10 downto 0);signal bcdsel:std_logic_vector(10 downto 0);signal segout:std_logic_vector(10 downto 0);begin g_a<=segout; aa1:process(clk,bcd) begin if(cl
29、k'event and clk='1')then bcdsel<=bcd and "11100000000" bcdin<=bcd and "00011111111" end if; end process aa1; aa2: process(bcdin) begin case bcdin is when "00000000000"=>segout<=bcdsel+"00011000000" when "00000000001"=>segou
30、t<=bcdsel+"00011111001" when "00000000010"=>segout<=bcdsel+"00010100100" when "00000000011"=>segout<=bcdsel+"00010110000" when "00000000100"=>segout<=bcdsel+"00010011001" when "00000000101"=>segou
31、t<=bcdsel+"00010010010" when "00000000110"=>segout<=bcdsel+"00010000010" when "00000000111"=>segout<=bcdsel+"00011111000" when "00000001000"=>segout<=bcdsel+"00010000000" when "00000001001"=>segou
32、t<=bcdsel+"00010010000" when "00001000000"=>segout<=bcdsel+"00010111111"-heng; when "00011111111"=>segout<=bcdsel+"00011111111"-an; when others=>segout<="00000000000" end case; end process aa2; end a;C程序:/* * "Hell
33、o World" example. * * This example prints 'Hello from Nios II' to the STDOUT stream. It runs on * the Nios II 'standard', 'full_featured', 'fast', and 'low_cost' example * designs. It runs with or without the MicroC/OS-II RTOS and requires a STDOUT * devi
34、ce in your system's hardware. * The memory footprint of this hosted application is 69 kbytes by default * using the standard reference design. * * For a reduced footprint version of this template, and an explanation of how * to reduce the memory footprint for a given application, see the * "
35、;small_hello_world" template. * */#include <stdio.h> /#include "excalibur.h"#include "system.h"#include "altera_avalon_pio_regs.h"#include "alt_types.h"#include "sys/alt_alarm.h"#include "sys/alt_irq.h"/#include "altera_avalon_timer.h"#
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 畜牧師職稱考試學(xué)生心得及試題及答案
- 2024年小語種考試各類試題及答案
- 2025年特許金融分析師考試透徹理解試題及答案
- 2025年銀行從業(yè)資格證考試經(jīng)濟(jì)政策試題及答案
- 2025銀行從業(yè)資格考試復(fù)習(xí)周期設(shè)定建議試題及答案
- 2024年小語種考試沖刺技巧與試題及答案
- 2025年特許金融分析師考試金融趨勢(shì)解讀試題及答案
- 2024小語種證書考試全景回顧試題及答案
- 2024年畜牧師職稱考試難題試題及答案攻略
- 網(wǎng)絡(luò)編輯師證書就業(yè)市場(chǎng)的試題及答案狀況
- 2025國(guó)核鈾業(yè)發(fā)展有限責(zé)任公司社會(huì)招聘47人筆試參考題庫(kù)附帶答案詳解
- 《腹部創(chuàng)傷的急救》課件
- 機(jī)電自動(dòng)化試題及答案
- 四川廣播電視臺(tái)招聘筆試真題2024
- 上學(xué)期《校園運(yùn)動(dòng)會(huì)安全》主題班會(huì)課件
- 2025屆山東省濟(jì)南市一模生物試題(原卷版+解析版)
- 2025年共青團(tuán)應(yīng)知應(yīng)會(huì)知識(shí)考試題庫(kù)及答案
- 血液透析貧血的護(hù)理查房
- CB/T 3780-1997管子吊架
- 浙江省公安民警心理測(cè)驗(yàn)考試題目(含答案)
- (精品)3D打印機(jī)畢業(yè)論文
評(píng)論
0/150
提交評(píng)論