




已閱讀5頁,還剩5頁未讀, 繼續(xù)免費(fèi)閱讀
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
圖4-1圖4-2參考程序參考程序1library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity jsq6 isport ( en,clk : in std_logic;q : inout std_logic_vector(2 downto 0);end jsq6;architecture behavioral of jsq6 isbeginprocess(clk,en)beginif en=0thenq=000;elsif clkevent and clk=1 thenif q=101 thenq=000 ;else q=q+1;end if;end if;end process; end behavioral;圖44圖45圖46圖47圖48圖49
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 利率市場化背景下投資理財(cái)方式的研究
- 污水管道拆除施工方案
- 江西車庫混凝土施工方案
- 2024-2025學(xué)年遼寧省重點(diǎn)高中六校協(xié)作體高二(上)期末歷史試卷
- 第10課 近代以來的世界貿(mào)易與文化交流的擴(kuò)展 課件-【知識精研】高二下學(xué)期歷史統(tǒng)編版(2019)選擇性必修3
- 外墻冬季施工方案
- 防滑地磚樓面施工方案
- 2025年天津法檢筆試試題及答案
- 2025年找貨運(yùn)司機(jī)面試題及答案
- 低利率時(shí)代的投資和資產(chǎn)配置策略
- 最實(shí)用的渣土系數(shù)表
- 重癥病人營養(yǎng)支持ICU
- 工會組建工作實(shí)務(wù)課件
- 外浮頂儲罐·內(nèi)浮頂儲罐泡沫堰PPT
- 甘肅省平?jīng)鍪懈骺h區(qū)鄉(xiāng)鎮(zhèn)行政村村莊村名明細(xì)及行政區(qū)劃代碼
- (完整版)初中道德與法治課程標(biāo)準(zhǔn)
- 自動化腹膜透析(APD)的臨床應(yīng)用課件
- 滌綸長絲生產(chǎn)標(biāo)準(zhǔn)工藝簡介
- 數(shù)字圖像處理-6第六章圖像去噪課件
- 監(jiān)理施工設(shè)計(jì)圖紙簽發(fā)表
- DB43∕T 801-2013 二次張拉低回縮鋼絞線豎向預(yù)應(yīng)力短索錨固體系設(shè)計(jì)、施工和驗(yàn)收規(guī)范
評論
0/150
提交評論