根據(jù)VHDL的電梯控制系統(tǒng)設(shè)計(jì)_第1頁(yè)
根據(jù)VHDL的電梯控制系統(tǒng)設(shè)計(jì)_第2頁(yè)
根據(jù)VHDL的電梯控制系統(tǒng)設(shè)計(jì)_第3頁(yè)
根據(jù)VHDL的電梯控制系統(tǒng)設(shè)計(jì)_第4頁(yè)
根據(jù)VHDL的電梯控制系統(tǒng)設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩17頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、VHDL數(shù)字系統(tǒng)設(shè)計(jì)與測(cè)試實(shí)驗(yàn)報(bào)告基于VHDL勺電梯控制系統(tǒng)設(shè)計(jì)、設(shè)計(jì)背景及說(shuō)明隨著高層建筑的不斷涌現(xiàn),對(duì)電梯的需求也與日俱增,電梯已經(jīng)成為我們 日常生活中不可缺少的部分,穩(wěn)定可靠性高的電梯系統(tǒng)成為了電梯領(lǐng)域的新需 求?,F(xiàn)在基于VHDL硬件描述語(yǔ)言,用FPGA為控制芯片控制完成一個(gè)簡(jiǎn)單的 6層樓的電梯控制系統(tǒng)設(shè)計(jì)。我們常見的電梯控制系統(tǒng)功能都包括:上升請(qǐng)求、下降請(qǐng)求、電梯門控、樓層顯示燈、電梯運(yùn)動(dòng)方向顯示、超載、報(bào)警、電梯內(nèi)請(qǐng)求信號(hào)等。根據(jù)這些常用 的信號(hào)設(shè)計(jì)一個(gè)電梯系統(tǒng),實(shí)現(xiàn)所要求的功能??刂品绞降倪x擇:1)內(nèi)部請(qǐng)求優(yōu)先控制方式內(nèi)部請(qǐng)求控制方式類似于出租車的工作方式, 先將車上的人送至目地,

2、再去 載客。作為通用型電梯應(yīng)該服務(wù)于大多數(shù)人,必須考慮電梯對(duì)內(nèi)外請(qǐng)求的響應(yīng)。在內(nèi)部請(qǐng)求優(yōu)先控制方式中,當(dāng)電梯外部人的請(qǐng)求和電梯內(nèi)部人的請(qǐng)求沖突時(shí), 外部人的請(qǐng)求信號(hào)可能被長(zhǎng)時(shí)間忽略,因?yàn)樗荒茏鳛橥ㄓ眯碗娞莸脑O(shè)計(jì)方案。2)單層層停控制方案單層層??刂品绞降韧诨疖囘\(yùn)行方式, 遇到站即停止、開門。這種方案保 證所有的人的請(qǐng)求都能得到響應(yīng)。 然而這樣對(duì)電梯的效率產(chǎn)生了消極的影響: 不 必要的等待消耗了大量時(shí)間,而且電梯的運(yùn)作與用戶的請(qǐng)求無(wú)關(guān),當(dāng)無(wú)請(qǐng)求時(shí)電 梯也照常跑空車,浪費(fèi)了大量的電能。對(duì)于用戶而言這種控制方式的請(qǐng)求響應(yīng)時(shí) 間也不是很快,因而也不是理想的電梯控制設(shè)計(jì)方案。3)方向優(yōu)先控制式方式方

3、案方向優(yōu)先控制是指電梯運(yùn)行到某一層樓時(shí), 先考慮這一層樓是否有請(qǐng)求:有 則停止;無(wú)則繼續(xù)上升或者下降。停止后再啟動(dòng)時(shí),考慮上方或者下方是否有請(qǐng) 求,有則繼續(xù)前進(jìn),無(wú)則停止。檢測(cè)后方是否有請(qǐng)求,有請(qǐng)求則轉(zhuǎn)向運(yùn)行,無(wú)請(qǐng)求則維持停止?fàn)顟B(tài)。這種運(yùn)作方式下,電梯對(duì)用戶的請(qǐng)求相應(yīng)率為100%,而且響應(yīng)的時(shí)間較短。方向優(yōu)先控制方式的效率遠(yuǎn)遠(yuǎn)大于單向?qū)訉油5瓤刂品绞降男?。而且,?向控制方式下,電梯在維持停止?fàn)顟B(tài)的時(shí)候可以進(jìn)入省電模式, 又能節(jié)省大量的 電能。在本設(shè)計(jì)中采用方向優(yōu)先控制方式。電梯的輸入信號(hào)分析對(duì)于電其中一電梯的輸入信號(hào)分析主要包括外部輸入信號(hào)和內(nèi)部輸入信息的分析。梯外部輸入信號(hào):每一層電梯門

4、外需要有上升請(qǐng)求按鈕和下降請(qǐng)求按鈕, 樓電梯門外只有上升請(qǐng)求按鈕,6樓電梯門外只有下降請(qǐng)求按鈕。電梯內(nèi)部輸入 信號(hào)包括6個(gè)前往樓層按鈕、提前關(guān)門按鈕、延時(shí)關(guān)門按鈕、電梯異常求救按鈕, 另外還有超重等警告信號(hào)輸入。電梯的輸出信號(hào)分析:電梯的輸出信號(hào)分析也主要包括外部輸出信號(hào)和內(nèi)部輸出信息的分析。對(duì)于電梯外部信號(hào)包括上升請(qǐng)求按鈕和下降請(qǐng)求按鈕是否被按下指示信號(hào)燈、電梯當(dāng)前所在樓層指示信號(hào)、電梯運(yùn)行方向指示信號(hào)。電梯內(nèi)部輸出信號(hào)包括6個(gè)前往樓層按鈕是否被按下指示信號(hào)、是否超重等告警指示信號(hào)、電梯當(dāng)前所在樓層指示信號(hào)、以及電梯運(yùn)行方向指示信號(hào)。電梯運(yùn)行規(guī)則分析:當(dāng)電梯處于上升模式時(shí),只響應(yīng)比電梯所在位

5、置更高的上樓信號(hào), 由下至上依次執(zhí)行,直到最后一個(gè)上樓請(qǐng)求執(zhí)行完畢,如果高層有下樓請(qǐng)求時(shí),則直接升到有下降請(qǐng)求的最高樓,然后進(jìn)入下降模式,電梯處于下降模式時(shí),則與上升模式相反。電梯系統(tǒng)框圖如下圖所示:電梯按鍵LEDFPGA控制芯片電機(jī)控制系統(tǒng)按鍵輸入乘坐電梯者所想要去的樓層, 控制電梯門的開關(guān),可編程邏輯芯片 接收到信號(hào)后進(jìn)行處理,發(fā)送信號(hào)給控制電機(jī)系統(tǒng)來(lái)控制電梯的上升下降等狀 態(tài),同時(shí)通過(guò)LED數(shù)碼管顯示所在的樓層,以及電梯的運(yùn)動(dòng)狀態(tài)。該控制器完成6層電梯的載客服務(wù),而且遵循方向優(yōu)先原則,并能相應(yīng)提前 關(guān)門、延時(shí)關(guān)門,并且有超載報(bào)警和故障報(bào)警。同時(shí)指示電梯的運(yùn)行情況以及電 梯內(nèi)外請(qǐng)求信息。二

6、、設(shè)計(jì)框圖及模塊說(shuō)明設(shè)計(jì)電梯系統(tǒng)框圖如下圖所示:電梯系統(tǒng)大致包括4個(gè)模塊:1)外部數(shù)據(jù)采集模塊對(duì)外部信號(hào)采集、處理要求電梯控制器:實(shí)現(xiàn)對(duì)外部請(qǐng)求信號(hào)的實(shí)時(shí)、準(zhǔn)確 采集;準(zhǔn)確實(shí)時(shí)地捕捉達(dá)到樓層的信號(hào); 有效防止樓層到達(dá)信號(hào)、外部請(qǐng)求信號(hào) 的誤判。采用FPGA為系統(tǒng)控制核心,系統(tǒng)時(shí)鐘頻率足夠滿足此系統(tǒng)實(shí)時(shí)采集數(shù) 據(jù)的要求。外部請(qǐng)求信號(hào)的輸入形式為按鍵輸入,到達(dá)樓層信號(hào)來(lái)自光敏傳感器, 關(guān)門中斷信號(hào)及超載信號(hào)則產(chǎn)生于壓力傳感器。2)信號(hào)存儲(chǔ)模塊電梯控制系統(tǒng)的請(qǐng)求輸入信號(hào)有18個(gè)(電梯外有6個(gè)上升請(qǐng)求和6個(gè)下降 請(qǐng)求的用戶輸入端口,電梯內(nèi)有 6個(gè)請(qǐng)求用戶輸入端口),由于系統(tǒng)對(duì)內(nèi)外請(qǐng)求沒(méi)有設(shè)置優(yōu)先級(jí),各

7、樓層的內(nèi)外請(qǐng)求信號(hào)被采集后可先進(jìn)行運(yùn)算, 再存到存儲(chǔ)器 內(nèi)。要注意的是電梯運(yùn)行過(guò)程中,由于用戶的請(qǐng)求信號(hào)的輸入是離散的, 而且系統(tǒng)對(duì)請(qǐng)求的響應(yīng)也是離散的,因此請(qǐng)求信號(hào)的存儲(chǔ)要新的請(qǐng)求信號(hào)不能覆蓋原來(lái) 的請(qǐng)求信號(hào),只有響應(yīng)動(dòng)作完成后才能清除存儲(chǔ)器內(nèi)對(duì)應(yīng)的請(qǐng)求信號(hào)位。3)基于FPGA的中央處理器模塊中央數(shù)據(jù)處理模塊是系統(tǒng)的核心,通過(guò)對(duì)存儲(chǔ)的數(shù)據(jù)(含請(qǐng)求、到達(dá)樓層等信號(hào))進(jìn)行比較、判斷以驅(qū)動(dòng)系統(tǒng)狀態(tài)的流轉(zhuǎn)。電梯工作過(guò)程中共有9種狀態(tài):等待、上升、下降、開門、關(guān)門、停止、休眠、超載報(bào)警及故障報(bào)警狀態(tài)。一般 情況下電梯的工作始點(diǎn)是第一層,起始狀態(tài)是等待狀態(tài),啟動(dòng)條件是接受上升請(qǐng) 求。超載狀態(tài)時(shí)電梯關(guān)門動(dòng)

8、作取消,同時(shí)發(fā)出警報(bào),直到警報(bào)被清除(看門狗信 號(hào)有效的條件是一層樓連續(xù)發(fā)生關(guān)門中斷情況超過(guò) 3次)。本系統(tǒng)由請(qǐng)求信號(hào)啟動(dòng),運(yùn)行中每檢測(cè)到一個(gè)到達(dá)樓層信號(hào), 再參考原方向信號(hào)來(lái)決定是否停止, 轉(zhuǎn) 向等動(dòng)作。系統(tǒng)狀態(tài)流程圖如下圖所示:清除_警報(bào)超載丿一F超載關(guān)門( 故障 /清除/警報(bào)II 出現(xiàn)/ 請(qǐng)求休眠繼續(xù)前進(jìn)J上升 到頂層:'I飛 轉(zhuǎn)向fj到底層:轉(zhuǎn)向前方(下)'、/ir 請(qǐng)求未響應(yīng) ' '-(下降_停止信 -丿繼續(xù) 號(hào)有效 關(guān)門前進(jìn)-、中斷前方(上)請(qǐng)求未響應(yīng)_請(qǐng)求未響 應(yīng)完畢停止信號(hào)有效停止二b一 r r 開門產(chǎn) 等待3秒一嚴(yán)一_開門等待完畢時(shí)間 超時(shí)M亭

9、止 動(dòng)作 完成4)信號(hào)的輸出、顯示模塊/關(guān)本系統(tǒng)的輸出信號(hào)有兩種:一種是電機(jī)的升降控制信號(hào)(兩位)和開門 門控制信號(hào);另一種是面向用戶的提示信號(hào)(含樓層顯示、方向顯示、已接受請(qǐng) 求顯示等)。電機(jī)控制信號(hào)一般需要兩位,本系統(tǒng)中電機(jī)有3種工作狀態(tài):正轉(zhuǎn)、反轉(zhuǎn)和 停轉(zhuǎn)狀態(tài)。兩位控制信號(hào)作為一個(gè)三路開關(guān)的選通信號(hào), 此三路開關(guān)選用模擬電子開關(guān)。系統(tǒng)的顯示輸出包括數(shù)碼管樓層顯示、數(shù)碼管請(qǐng)求信號(hào)顯示和表征運(yùn)動(dòng)方向 箭頭指示燈的開關(guān)信號(hào)。LED七段數(shù)碼管顯示部分在前面的作業(yè)中已經(jīng)做了詳 細(xì)的介紹及說(shuō)明,在本系統(tǒng)中省略。本系統(tǒng)具有請(qǐng)求信號(hào)顯示功能,結(jié)合方向顯示,可以減少用戶對(duì)同一請(qǐng)求的 輸入次數(shù),這樣就延長(zhǎng)了

10、電梯按鍵的壽命。三、電梯控制程序設(shè)計(jì)源代碼及端口說(shuō)明鄉(xiāng)劣 :G床*宀g1,g2,g3,g4,g5,g6 : in std_logic;-到達(dá)樓層信號(hào)輸入端口信號(hào)說(shuō)明:elk :時(shí)鐘信號(hào),2Hz ;clr :清除警報(bào)信號(hào),高有效,清除故障報(bào)警;c_u1、c_u2、c_u3、c_u4、c_u5:電梯外人的上升請(qǐng)求;deng:關(guān)門中斷,用于在需要等待時(shí),讓電梯門一直打開,停止運(yùn)動(dòng);d1、d2、d3、d4、d5、d6:電梯內(nèi)人的請(qǐng)求信號(hào);full :超載信號(hào),高時(shí)表示電梯內(nèi)的人過(guò)多,超載報(bào)警;g1、g2、g3、g4、g5、g6:到達(dá)樓層信號(hào),數(shù)碼管LED將顯示其值;quick :提前關(guān)門信號(hào),高有效,

11、當(dāng)為高時(shí),電梯門馬上關(guān)閉;輸出端口信號(hào)說(shuō)明:door1:0:電梯門控信號(hào);led6:0:電梯所在樓層顯示;led_c_u5:0:電梯外人上升請(qǐng)求信號(hào)顯示;led_c_d5:0:電梯外人下降請(qǐng)求信號(hào)顯示;led_d5:0:電梯內(nèi)請(qǐng)求信號(hào)顯示;alarm:超載警告信號(hào);up:電梯運(yùn)動(dòng)狀態(tài)顯示,高時(shí)表示上升;down:電梯運(yùn)動(dòng)狀態(tài)顯示,高時(shí)表示為下降;ud:電機(jī)控制信號(hào),控制電梯的上升下降,“ 1”表示為上升,“0”表示為下降;wawawa:看門狗報(bào)警信號(hào),高時(shí)表示故障報(bào)警。源代碼如下所示:library ieee;use ieee.std_logic_1164.all;use ieee.std_l

12、ogic_ un sig ned.all;use ieee.std_logic_arith.all;en tity dia nti isport ( clk : in std_logic;-時(shí)鐘信號(hào)(頻率為 2Hz)full,de ng,quick,clr : in std_logic;-超載、關(guān)門中斷、提前關(guān)門清除報(bào)警信號(hào)c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic;-電梯外人的上升請(qǐng)求信號(hào)c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic;-電梯外人的下降請(qǐng)求信號(hào)d1,d2,d3,d4,d5,d6 : in std_logic;-

13、電梯內(nèi)人的請(qǐng)求信號(hào)door : out std_logic_vector(1 dow nto 0);-電梯門控制信號(hào)end if;elsif q=1 the n q<=0;alarm<='0'led : out std_logic_vector(6 dow nto 0);-電梯所在樓層顯示led_c_u:out std_logic_vector(5 dow nto 0);-電梯外人上升請(qǐng)求信號(hào)顯示led_c_d:out std_logic_vector(5 dow nto 0);-電梯外人下降請(qǐng)求信號(hào)顯示led_d : out std_logic_vector(5

14、dow nto 0);-電梯內(nèi)請(qǐng)求信號(hào)顯示wahaha : out std_logic;-看門狗報(bào)警信號(hào)-電梯運(yùn)動(dòng)方向顯示,超載警告信號(hào)ud,alarm : out std_logic;up ,dow n : out std_logic );-電機(jī)控制信號(hào)和電梯運(yùn)動(dòng)end dia nti;architecture behav of dia nti issig nal d11,d22,d33,d44,d55,d66:std_logic;-電梯內(nèi)人請(qǐng)求信號(hào)寄存信號(hào)sig nal c_u11,c_u22,c_u33,c_u44,c_u55:std_logic;-電梯外人上升請(qǐng)求信號(hào)寄存信號(hào)sig n

15、al c_d22,c_d33,c_d44,c_d55,c_d66:std_logic;-電梯外人下降請(qǐng)求信號(hào)寄存信號(hào)sig nal q:i nteger range 0 to 1;-分頻信號(hào)sig nal q1:i nteger range 0 to 6;-關(guān)門延時(shí)計(jì)數(shù)器sig nal q2:i nteger range 0 to 9;-看門狗計(jì)數(shù)器signal dd,cc_u,cc_d,dd_cc:std_logic_vector(5 downto 0);- 電梯內(nèi)外請(qǐng)求信號(hào)寄存器sig nal open door:std_logic;-開門使能信號(hào)sig nal up dow n: std

16、_logic;-電梯運(yùn)動(dòng)方向信號(hào)寄存器sig nal en_up,en _dw:std_logic;-預(yù)備上升、預(yù)備下降預(yù)操作使能信號(hào)begincom:pro cess(clk)beginif clk'eve nt and clk='1' the nif clr='1' then q1<=0;q2<=0;wahaha<='0'-清除故障報(bào)警elsif full='1' the nalarm<='1' q1<=0;-超載報(bào)警if q1>=3 then door<=&q

17、uot;10"else door<="00"if q2=3 then wahaha<='1'-故障報(bào)警elsif dd_cc>"000011" then en_u p<='1' o pen door<='0'elseif open door='1' then door<="10"q1<=0;q2<=0;u p< -O'dow * -O'-開門操作elsif en_up='1'

18、the n-上升預(yù)操作if den g='1' then door<="10"q1<=0;q2<=q2+1;-關(guān)門中斷elsif quick-1' then q1<=3;-提前關(guān)門elsif q1=6 then door<="00"u pdow n<='1'u p<='1'- 關(guān)門完畢,電梯進(jìn)入上升狀態(tài)elsif q1>=3 then door<="01"q1<=q1+1;-電梯進(jìn)入關(guān)門狀態(tài)else q1<=q1+

19、1;door<="00"-電梯進(jìn)入等待狀態(tài)end if;elsif en dw='1' then-下降預(yù)操作if den g='1' then door<="10"q1<=0;q2<=q2+1;elsif quick='1' then q1<=3;elsif q1=6 then door<="00"u pdow n<='0'dow *='1'elsif q1>=3 then door<="01

20、"q1<=q1+1;else q1<=q1+1;door<="00"end if;end if;if g1='1' then led<="1001111"-電梯到達(dá)1樓,數(shù)碼管顯示1if d11='1' or c_u11='1' then d11<='0' c_u11<='0'o pen door<='1'-有當(dāng)前層的請(qǐng)求,則電梯進(jìn)入開門狀態(tài)elsif dd_cc>"000001"

21、then en_up <='1' o pen door<='0'-有上升請(qǐng)求,則電梯進(jìn)入預(yù)備上升狀態(tài)elsif dd_cc="000000" then open door<='0'"無(wú)請(qǐng)求時(shí),電梯停在 1 樓待機(jī)end if;elsif g2='1' then led<="0010010"-電梯到達(dá)2樓,數(shù)碼管顯示2-電梯前一運(yùn)動(dòng)狀態(tài)位上升if up dow n='1' the nif d22='1' or c_u22=

22、9;1' then d22<='0' c_u22<='0' o pen door<='1'-有當(dāng)前層的請(qǐng)求,則電梯進(jìn)入開門狀態(tài)elsif dd_cc<"000010" then en_dw<='1' open door<='0'-有上升請(qǐng)求,則電梯進(jìn)入預(yù)備上升狀態(tài)-有下降請(qǐng)求,則電梯進(jìn)入預(yù)備下降狀態(tài)end if;-電梯前一運(yùn)動(dòng)狀態(tài)為下降elsif d22='1' or c_d22='1' then d22<=

23、9;0' c_d22<='0'o pen door<='1'-有當(dāng)前層的請(qǐng)求,則電梯進(jìn)入開門狀態(tài)open door<='0'elsif dd_cc<"000010" then en_dw<='1'-有下降請(qǐng)求,則電梯進(jìn)入預(yù)備下降狀態(tài)open door<='0'elsif dd_cc>"000011" then en_up <='1:-有上升請(qǐng)求,則電梯進(jìn)入預(yù)備上升狀態(tài)end if;elsif g3='1&

24、#39; then led<="0000110"-電梯到達(dá)3樓,數(shù)碼管顯示3end if;elsif dd_cc<"001000" then en_dw<='1'open door<='0'if up dow n='1' the nif d33='1' or c_u33='1' then d33<='0' c_u33<='0'o pen door<='1'elsif dd_cc>&

25、quot;000111" then en_u p<='1'open door<='0'elsif dd_cc<"000100" then en_dw<='1'open door<='0'end if;elsif d33='1' or c_d33='1' then d33<='0' c_d33<='0'open door<='1'open door<='0'

26、;open door<='0'elsif dd_cc<"000100" then en_dw<='1'elsif dd_cc>"000111" then en_up <='1'end if;elsif g4='1' then led<="1001100"-電梯到達(dá)4樓,數(shù)碼管顯示4if up dow n='1' the nif d44='1' or c_u44='1' then d44&l

27、t;='0' c_u44<='0' o pen door<='1'elsif dd_cc>"001111" then en_u p<='1' o pen door<='0'elsif dd_cc<"001000" then en_dw<='1' open door<='0'elsif d44='1' or c_d44='1' then d44<='0&

28、#39; c_d44<='0' o pen door<='1'elsif dd_cc>"001111" then en_u p<='1' open door<='0'end if;elsif g5='1' then led<="0100100"-電梯到達(dá)5樓,數(shù)碼管顯示5if up dow n='1' the nif d55='1' or c_u55='1' then d55<='

29、;0' c_u55<='0'o pen door<='1'elsif dd_cc>"011111" the n en_up <='1:open door<='0'elsif dd_cc<"010000" then en_dw<='1'open door<='0'end if;elsif d55='1' or c_d55='1' then d55<='0' c_

30、d55<='0'o pen door<='1'elsif dd_cc<"010000" then en_dw<='1'open door<='0'elsif dd_cc>"011111" the n en_up <='1'open door<='0'end if;elsif g6='1' then led<="0100000"-電梯到達(dá)6樓,數(shù)碼管顯示6end if;if

31、 d66='1' or c_d66='1' then d66<='0' c_d66<='0'o pen door<='1'open door<='0'elsif dd_cc<"100000" then en_dw<='1'end if;else en_up<='0'e n_dw<='0'-電梯進(jìn)入上升或下降狀態(tài)end if;end if;else q<=1;alarm<=&

32、#39;0'-清除超載報(bào)警if d1='1' or d1='0' then d11<=d1;-對(duì)電梯內(nèi)人請(qǐng)求信號(hào)進(jìn)行檢測(cè)和寄存elsif d2='1' or d2='0' then d22<=d2;elsif d3='1' or d3='0' then d33<=d3;elsif d4='1' or d4='0' then d44<=d4;elsif d5='1' or d5='0' then d55&l

33、t;=d5;elsif d6='1' or d6='0' then d66<=d6;if c_u1='1' or c_u1='0' then c u11<=c_u1;-對(duì)電梯外人上升請(qǐng)求信號(hào)進(jìn)行檢測(cè)和寄存elsif c_u2='1' or c_u2='0' then c_u22<=c_u2;elsif c_u3-1' or c_u3='0' then c_u33<=c_u3;elsif c_u4='1' or c_u4='0&#

34、39; the n c_u44<=c_u4;elsif c_u5='1' or c_u5='O' the n c_u55<=c_u5;end if;if c_d2='1' or c_d2='0' then c_d22<=c_d2;-對(duì)電梯外人下降請(qǐng)求信號(hào)進(jìn)行檢測(cè)和寄存elsif c_d3='1' or c_d3='0' thenc_d33<=c_d3;elsif c_d4='1' or c_d4='0' thenc_d44<=c_d4;e

35、lsif c_d5='1' or c_d5='0' thenc_d55<=c_d5;elsif c_d6='1' or c_d6='0' then c_d66<=c_d6;end if;dd<=d66&d55&d44&d33&d22 &d11;-電梯內(nèi)人請(qǐng)求信號(hào)并置cc_u<='0'&c_u55&c_u4 4&c_u33&c_u22&c_u11;-電梯外人上升請(qǐng)求信號(hào)并置cc_d<=c_d66&c_

36、d55&c_d44&c_d33&c_d22 & 'O'-電梯外人下降請(qǐng)求信號(hào)并置dd_cc<=dd or cc_u or cc_d;-電梯內(nèi)、外人請(qǐng)求信號(hào)進(jìn)行綜合end if;ud<=up dow n;-電梯運(yùn)動(dòng)狀態(tài)顯示led_d<=dd;-電梯內(nèi)人請(qǐng)求信號(hào)顯示led_c_u<=cc_u;-電梯外人上升請(qǐng)求信號(hào)顯示led_c_d<=cc_d;-電梯外人下降請(qǐng)求信號(hào)顯示end if;end pro cess;end behav;此次電梯控制系統(tǒng)設(shè)計(jì)使用的是Altera公司的MAX-II,由下資源使用情況圖可見這款芯片足

37、夠滿足本次設(shè)計(jì)需求。Flow StatusQuartiis !工 VersionRevisi on HameTp-levtJ. Entity HamtFamilyDeviceTimingMet -tim LJi r equixenenisTptal logLE elementsTotal pinsTotal virtual piliEUTH blocksSuccaEsful - Fri Tun 15 16:03:25 20120.0 Duill 1 艾 02/257200 SJ Full (/er si oil d.i 知tidivitxMJGI riETOOTIOOCJFinalYez7e

38、/ £40 (銘胃)59 < 30 7噸鴛00 / 1( 0 厘假設(shè)電梯初始狀態(tài)在一樓,此時(shí)2、3樓電梯外有人要下樓,4樓電梯外有人要上樓,在此后輸入等待、快速關(guān)門、超載等信號(hào),觀察仿真圖得出結(jié)論:四、仿真結(jié)果及說(shuō)明I CuSSQufi30u«fl弓 M6 CueT q 曲a.Qj*9 Qj(i叫m11 Hum12 >弓llj1 *D:J1fl 50n=<nR .0fT業(yè)REI III I I I I I II lI I I I II 11 I UH UDI IIILIJ水哎DrLJLJLqui亡£niri-chD11H»-rdiI -

39、審I IDnnDI n0nII0nII1'1r01<£r e pDr1_r 1.t= nD1Idown1I11 1rLdacia DC(£n g r”口3D祜 X- K 3UU: 1QD丘* IvdD卿鳥 153? it35j(7F.XE!i佃H羽Pkd-tlOEWDJumjQ|pd_c_u.-Xcnioag崔OffOJhdl_ic_rfyDDDriDftnnDidJLUULLULIq1 £AM 61柜她陽(yáng)?!»漑a <12DO0K 1 a 0II I z >* dd-XODDaDD-卜I wnm 1 LUI 10jDM'

40、;HOJIff JU IO£UUSLU葩 it_liW M-_diF X 'iaoaiiaYDCODIDXHuooa電梯控制程序仿真全圖注:實(shí)際使用時(shí)電梯的時(shí)鐘為2Hz,為了仿真時(shí)便于觀察我們?cè)诜抡鏁r(shí),將頻率 加倍為25MHzm.a 4CDU0ng OEl.Ehe SBOng I Dm 1 扣=15I eu扌1 Bud 2匚32 AiSTHIS2 311 3.ILB 32uaa qir-quckHr -測(cè)6 Lp 召ud=t»' du>i7 d之閒 砂旳nled0 ied_J ledj?_u lad_c_d 皿ql azq? 於典 少札卯 少 cc_t

41、 0? 2a1Qa000njLrLrLnjLmn-LTLnLrLrouuSi譏A過(guò)g U左Y鼻 彳6 YUflDDQ3DD 1 fOCCID 0001100jll貯憶ejLGBDmQQDD KlOCOW iiDCD 2 lainio 1Tnmo1附inOODDDD Xooia»IODDDSDlEDHD K OaEDII 1.EDI DDB(UDEDD&DQ電梯控制程序仿真局部放大圖-1DpilDD注:1.電梯停在一樓時(shí),接受到請(qǐng)求信號(hào) c_d3、c_d2、c_u4和d6,并把請(qǐng)求信號(hào)寫入相應(yīng)的寄存器。led顯示電梯所在樓層;led_d、led-c_u 和led_c_d顯示用戶的請(qǐng)求。2.電梯經(jīng)過(guò)準(zhǔn)備上升狀態(tài)后,進(jìn)入上升狀態(tài),到達(dá) 2樓、3樓時(shí),由于2、3樓的乘客要求的是下降,所以不停繼續(xù)前進(jìn)。3.電梯上升到4樓時(shí),響應(yīng)請(qǐng)求(c_u4),開門載客;載客時(shí)電梯的門狀態(tài)door先由10 (電梯門打開)跳到00 (等待)再跳到01 (電梯門關(guān)閉),完成乘客的進(jìn)入;此后進(jìn)入預(yù)備上升狀態(tài)。Msrrn:電vBJ buaSOuB d2呃 i iu9二勲士mqj占J砂 電訊 &晦生帖 弓甘 勺電訊勺i

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論