實(shí)驗(yàn) 八路搶答器的設(shè)計(jì)DE_第1頁
實(shí)驗(yàn) 八路搶答器的設(shè)計(jì)DE_第2頁
實(shí)驗(yàn) 八路搶答器的設(shè)計(jì)DE_第3頁
實(shí)驗(yàn) 八路搶答器的設(shè)計(jì)DE_第4頁
實(shí)驗(yàn) 八路搶答器的設(shè)計(jì)DE_第5頁
全文預(yù)覽已結(jié)束

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、實(shí)驗(yàn)8 八路搶答器的設(shè)計(jì)實(shí)驗(yàn)?zāi)康模赫莆赵O(shè)計(jì)較復(fù)雜系統(tǒng)的方法。實(shí)驗(yàn)步驟:使用原理圖輸入法設(shè)計(jì)該八路搶答器系統(tǒng),需要事先創(chuàng)建SEG7b2組別顯示譯碼器電路和div248分頻器電路,將這兩個(gè)電路生成電路符號(hào)存儲(chǔ)在answer8文件夾內(nèi)。然后,再建立answer8的原理圖文件。一、生成SEG7b2組別顯示譯碼器電路符號(hào)1.選擇FileNew Project Wizard建立answer8的工程。在工程目錄下選擇“E:EDA_testAlteraanswer8”,如果沒有“answer8”文件夾,需要新建。在項(xiàng)目名稱處填“SEG7b2”。點(diǎn)擊Next,在File name文件名稱處填“SEG7b2”。點(diǎn)

2、擊Next后,按照下圖設(shè)置選擇器件。點(diǎn)擊Next后,點(diǎn)擊Next后,點(diǎn)擊Finish完成了項(xiàng)目的建立。2.建立文件 選擇FileNew 或快捷圖標(biāo)新建文件先建立SEG7b2.VHD文件。這是譯碼器文件。點(diǎn)擊“OK”。 輸入SEG7b2.VHD文件如下:LIBRARY ieee;ll;Use ieee.std_logic_unsigned.all;ENTITY SEG7b2 ISPORT(num: INstd_logic_vector( 7 downto 0); hex7: OUT std_logic_vector(7 downto 0);END SEG7b2;ARCHITECTURE a OF

3、 SEG7b2 ISBEGINWITH NUM SELECT hex7 <= "10011111" when "00000001" , -顯示1; "00100101" when "00000010" , -顯示2; "00001101" when "00000100" , -顯示3; "10011001" when "00001000" , -顯示4; "01001001" when "000100

4、00" , -顯示5; "01000001" when "00100000" , -顯示6; "00011111" when "01000000" , -顯示7; "00000001" when "10000000" , -顯示8; "00000011" when others ; -顯示0;END a ;輸入完文件后,選擇保存文件。在選擇框中選中“Add file to current project”,然后保存文件:點(diǎn)擊“保存”。3.編譯項(xiàng)目

5、文件點(diǎn)擊按鈕,或利用下圖示,選擇對(duì)應(yīng)操作。 編譯過程需要幾分鐘的時(shí)間,在Status框中有編譯進(jìn)程。 編譯通過時(shí)顯示下圖結(jié)果。4.創(chuàng)建文件對(duì)應(yīng)的電路符號(hào)將當(dāng)前工作窗口切換到SEG7b2.vhd,然后按照下圖示選擇對(duì)應(yīng)的操作。 創(chuàng)建電路符號(hào)成功后,顯示如下圖。點(diǎn)擊“確定”。至此,SEG7b2.bsf的元件符號(hào)已經(jīng)保存在answer8的文件夾內(nèi)。二、類似前面的步驟,生成div248分頻器電路符號(hào)1.選擇FileNew Project Wizard建立div248的工程。在工程目錄下選擇“E:EDA_testAlteraanswer8”。在項(xiàng)目名稱處填“div248”。點(diǎn)擊Next,出現(xiàn):我們是在同

6、一個(gè)文件夾下建立新的工程,在此選擇“否”。在File name文件名稱處填“div248”。點(diǎn)擊Next后,按照下圖設(shè)置選擇器件。點(diǎn)擊Next后,點(diǎn)擊Next后,點(diǎn)擊Finish完成了項(xiàng)目的建立。2.建立文件 選擇FileNew 或快捷圖標(biāo)新建文件先建立div248.VHD文件。這是分頻器文件。點(diǎn)擊“OK”。 輸入div248.VHD文件如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity div248 isport(clk:in std_logic; div2:out std_lo

7、gic; div4:out std_logic; div8:out std_logic;div16:out std_logic;div32:out std_logic); end div248;architecture one of div248 issignal cnt:std_logic_vector(25 downto 0);beginprocess(clk)beginif clk'event and clk='1'then -cnt<=cnt+1;end if;end process;div2<=cnt(0);div4<=cnt(16);div

8、8<=cnt(18);div16<=cnt(20);div32<=cnt(25);end;輸入完文件后,選擇保存文件。在選擇框中選中“Add file to current project”,然后保存文件:點(diǎn)擊“保存”。3.編譯項(xiàng)目文件點(diǎn)擊按鈕,或利用下圖示,選擇對(duì)應(yīng)操作。 編譯過程需要幾分鐘的時(shí)間,在Status框中有編譯進(jìn)程,編譯通過時(shí)顯示下圖結(jié)果。4.創(chuàng)建文件對(duì)應(yīng)的電路符號(hào)將當(dāng)前工作窗口切換到div248.VHD,然后按照下圖示選擇對(duì)應(yīng)的操作。 創(chuàng)建電路符號(hào)成功后,顯示如下圖。點(diǎn)擊“確定”。至此,div248.VHD對(duì)應(yīng)的元件符號(hào)已經(jīng)保存在answer8的文件夾內(nèi)。三、

9、類似前面兩步的操作,創(chuàng)建完整的電路原理圖文件1.選擇FileNew Project Wizard建立answer8的工程。在工程目錄下選擇“E:EDA_testAlteraanswer8”。在項(xiàng)目名稱處填“answer8”。點(diǎn)擊Next,出現(xiàn):我們是在同一個(gè)文件夾下建立新的工程,在此選擇“否”。在File name文件名稱處填“answer8”。點(diǎn)擊Next后,按照下圖設(shè)置選擇器件。點(diǎn)擊Next后,點(diǎn)擊Next后,點(diǎn)擊Finish完成了answer8項(xiàng)目的建立。2.建立文件 選擇FileNew 或快捷圖標(biāo)新建文件先建立answer8.bdf原理圖文件。點(diǎn)擊“OK”。 輸入answer8.bdf

10、原理圖文件如下: 在輸入板面的空白處雙擊鼠標(biāo)左鍵,或選擇左側(cè)工具欄圖標(biāo)輸入元件。先將Libraries下的Project展開,可以看到div248和SEG7b2兩個(gè)元件。這兩個(gè)元件可以在下面的原理圖輸入過程中使用。開始輸入元件,在Name元件名處逐一輸入所需要的元件。如先輸入74175,會(huì)出現(xiàn):點(diǎn)擊“OK”。74175元件隨著鼠標(biāo)在原理圖板面上移動(dòng),點(diǎn)擊鼠標(biāo)左鍵,元件就被放置在該位置上。移動(dòng)鼠標(biāo),在恰當(dāng)位置點(diǎn)擊鼠標(biāo)左鍵可以放置同一元件。點(diǎn)擊鼠標(biāo)右鍵,選擇“Cancel”取消放置元件。需要設(shè)計(jì)的原理圖如下(放大WORD文本后,顯示細(xì)節(jié)):使用DE2-70板上的HEX7顯示組別、HEX6/HEX5

11、/HEX4不顯示任何數(shù)字(滅掉)、HEX3/HEX2/HEX1/HEX0顯示倒計(jì)時(shí)時(shí)間。下圖是屏蔽掉HEX6/HEX5/HEX4不顯示任何數(shù)字的電路連接。輸入完文件后,選擇保存文件。在選擇框中選中“Add file to current project”,然后保存文件:點(diǎn)擊“保存”。3.編譯項(xiàng)目文件點(diǎn)擊按鈕,或利用下圖示,選擇對(duì)應(yīng)操作。 編譯過程需要幾分鐘的時(shí)間,在Status框中有編譯進(jìn)程,編譯通過時(shí)顯示下圖結(jié)果。4.也可以創(chuàng)建原理圖文件對(duì)應(yīng)的電路符號(hào)。5.分配引腳在DE2-70板,按照下表分配引腳:NameLocationNameLocationNameLocationSw1按鍵輸入AA2

12、3hex77K3hex3aP6Sw2AB26hex76J1hex3bP4Sw3AB25hex75J2hex3cN10Sw4AC27hex74H1hex3dN7Sw5AC26hex73H2hex3eM8Sw6AC24hex72H3hex3fM7Sw7AC23hex71G1hex3gM6Sw8AD25hex70G2hex3dpM4reset復(fù)位輸入AJ6hex6aH6hex2aAE7clkAK5hex6bH4hex2bAF7H8設(shè)置輸入AJ5hex6cH7hex2cAH5H4AJ4hex6dH8hex2dAG4H2AK3hex6eG4hex2eAB18H1AH4hex6fF4hex2fAB19L8

13、設(shè)置輸入AJ3hex6gE4hex2gAE19L4AJ2hex6dpK2hex2dpAC19L2AE27hex5aM3hex1aAG13L1D16hex5bL1hex1bAE16speak輸出W27hex5cL2hex1cAF16DIV32輸出Y27hex5dL3hex1dAG16DIV16Y24hex5eK1hex1eAE17DIV8Y23hex5fK4hex1fAF17DIV4AA27hex5gK5hex1gAD17DIV2AA24hex5dpK6hex1dpAC17Num0輸出L8hex4aP1hex0aAE8Num1L7hex4bP2hex0bAF9Num2L4hex4cP3hex0c

14、AH9Num3L5hex4dN2hex0dAD10Num4T9hex4eN3hex0eAF10Num5U9hex4fM1hex0fAD11Num6V10hex4gM2hex0gAD12Num7W5hex4dpL6hex0dpAF12 分配的引腳圖如下:引腳分配完成后,再次編譯項(xiàng)目文件:點(diǎn)擊按鈕,或利用下圖示,選擇對(duì)應(yīng)操作。 編譯過程需要幾分鐘的時(shí)間,編譯通過時(shí)顯示下圖結(jié)果。6.查看波形仿真文件 首先,需要建立波形圖文件點(diǎn)擊“OK”,出現(xiàn):點(diǎn)擊“保存”。然后,在圖形文件中插入引腳:在引腳輸入空白處(Name)單擊鼠標(biāo)右鍵,按照下圖InsertInsert Node or Bus操作:出現(xiàn)下圖,按照下圖點(diǎn)擊“Node Finder ”操作:上圖中,點(diǎn)擊“Node Finder”:上圖中,點(diǎn)擊“List”:按鈕用來選擇單一的信號(hào)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論