04年《EDA技術(shù)》試題答案(共8頁(yè))_第1頁(yè)
04年《EDA技術(shù)》試題答案(共8頁(yè))_第2頁(yè)
04年《EDA技術(shù)》試題答案(共8頁(yè))_第3頁(yè)
04年《EDA技術(shù)》試題答案(共8頁(yè))_第4頁(yè)
04年《EDA技術(shù)》試題答案(共8頁(yè))_第5頁(yè)
已閱讀5頁(yè),還剩3頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、精選優(yōu)質(zhì)文檔-傾情為你奉上電子科技大學(xué)二零零四至二零零五學(xué)年第一學(xué)期(A)一、 填空題:(20分,每小題2分)1) 用VHDL語(yǔ)言進(jìn)行設(shè)計(jì)過程中一般要進(jìn)行仿真以判斷設(shè)計(jì)是否正確,在綜合前進(jìn)行的仿真稱為 功能仿真 ,綜合后進(jìn)行的仿真稱為 時(shí)序仿真 。2) CPLD和FPGA內(nèi)部結(jié)構(gòu)差別很大,一般CPLD是一種以 乘積項(xiàng) 方式構(gòu)成邏輯行為的器件,而一般FPGA則是以 查找表 方式構(gòu)成邏輯行為的器件。3) Altera公司的FLEX 10K系列器件的內(nèi)部結(jié)構(gòu)主要包括: 邏輯陣列塊(LAB) 、 嵌入式陣列塊() 、 I/O單元 和 快速通道互連 。4) VHDL語(yǔ)言中端口buffer和inout的主

2、要區(qū)別是 buffer不能接收外部的輸入信號(hào),inout可實(shí)現(xiàn)雙向數(shù)據(jù)傳送 。5) 數(shù)字頻率計(jì)功能是測(cè)量被測(cè)信號(hào)的頻率,測(cè)量頻率的基本原理是: 1秒時(shí)間內(nèi) 代測(cè)信號(hào)的脈沖個(gè)數(shù) 。6) 在VHDL語(yǔ)法規(guī)則中變量只能在 進(jìn)程 和 子程序 中使用。7) EDA軟件中的綜合器的基本功能是: 將描述針對(duì)給定的硬件結(jié)構(gòu)進(jìn)行編譯、優(yōu)化、轉(zhuǎn)換和綜合最終獲得門級(jí)電路或更底層的電路描述文件 。8) 一般常用的VHDL描述風(fēng)格有三種,它們分別是: 行為描述 、 寄存器級(jí)描述(或數(shù)據(jù)流描述) 和 結(jié)構(gòu)描述 。9) 元件例化語(yǔ)句中的端口映射方式有_位置關(guān)聯(lián)_、_名字關(guān)聯(lián)_。10) CPLD的全稱是 Complex Pr

3、ogrammable Logic Devices(或復(fù)雜可編程邏輯器件) 、FPGA的全稱是 Field Programmable Gate Array(或現(xiàn)場(chǎng)可編程門陣列) 。二、 單項(xiàng)選擇題(14分,每小題2分)1) Altera公司的FLEX 10K系列器件采用的編程元件是 D 。A、 熔絲型開關(guān) B、 EPROM的編程元件 C、 EEPROM的編程元件 D、基于SRAM的編程元件2) 若a=1,b=2,下面程序執(zhí)行后,a和b的值分別為 B 。architecture rtl of entityName issignal a, b: integer;beginprocess (a, b)

4、 variable c: integer;begina =b ;c := a ;b = c ;end process;end rtl ;A、1,2 B、 2,1 C、 1,1 D、 2, 2 3) 若S1為”1010”, S2為”0101”,下面程序執(zhí)行后,outValue輸出結(jié)果為: A 。library ieee;use ieee.std_logic_1164.all;entity ex2_3 is port(S1: in std_logic_vector(3 downto 0); S2: in std_logic_vector(0 to 3); outValue: out std_log

5、ic_vector(3 downto 0);End ex2_3;architecture rtl of ex2_3 isbegin outValue(3 downto 0) = (S1(2 downto 0) and not S2(1 to 3) & (S1(3) xor S2(0) ;end rtl;A、 “0101” B、 “0100” C、“0001” D、“0000” 4) 下面哪個(gè)說(shuō)法是錯(cuò)誤的: B 。A、 進(jìn)程語(yǔ)句與進(jìn)程語(yǔ)句之間是并行執(zhí)行的,進(jìn)程語(yǔ)句內(nèi)部是順序執(zhí)行的B、進(jìn)程語(yǔ)句是可以嵌套使用的C、塊語(yǔ)句與塊語(yǔ)句之間是并行執(zhí)行的,塊語(yǔ)句內(nèi)部也是并行執(zhí)行的D、塊語(yǔ)句是可以嵌套使用的5)

6、 在使用MAX+PLUSII開發(fā)環(huán)境對(duì)電路進(jìn)行系統(tǒng)設(shè)計(jì)時(shí),若將程序下載到器件EP10K10LC84-4,則需要下載的文件后綴名為 C 。A、*.gdf B、*.pof C、*.sof D、*.scf6) 若A為“1010”,則下面的程序執(zhí)行后Y和Z的輸出值分別為 B 。 Library ieee; Use ieee.std_logic.1164.all; Entity p_check is Port(a: in std_logic_vector(3 downto 0); Y, Z: out std_logic); End p_check; Architecture arch of p_chec

7、k is Signal tmp1: std_logic ; begin P1: Process(a) Variable tmp2: std_logic ; begin tmp2 := 0; For N in 0 to 3 loop tmp2 := tmp2 xor a(N) ; End loop; Y=tmp2 ; End P1; P2: process(a) begin tmp1 = 0; For N in 0 to 3 loop tmp1 = tmp1 xor a(N) ; End loop; Zoutpoutpoutpoutp=“1000” ; end case; end process

8、;end rtl ;1) library ieee; use ieee.std_logic_1164.all ; use ieee.std_logic_unsigned.all; entity ex2 isport (clk: in std_logic;Cnt: buffer std_logic_vector(3 downto 0); End ex2; Architecture arch of ex2 is Begin Process(clk) BeginWait until clkevent and clk = 1 ; 去掉process后的clk,wait語(yǔ)句中進(jìn)程后不 Cnt = Cnt

9、 + 1 ; 能有敏感信號(hào) End process; End arch ;2) library ieee; use ieee.std_logic_1164.all; entity ex3 isport ( A,B,C: in std_logic; sel: in std_logic_vector(1 downto 0); Z: out std_logic); End ex3; Architecture arch of ex3 is Begin Process(A,B,C) BeginZ = A when sel = “00” else 并行條件賦值語(yǔ)句不能放在進(jìn)程中,去掉 B when sel

10、 = “01” else 進(jìn)程 C; End process; End arch;3) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity test is port(clk: in std_logic;count: out std_logic_vector(3 downto 0);end test;architecture rtl of test is count端口類型應(yīng)為buffer 或inoutbegin process(clk) begin if clkevent and

11、clk=1 then count=count+1; end if;end process;end rtl; 4) library ieee; use ieee.std_logic_1164.all; entity ex5 isport(Din: in std_logic_vector(7 downto 0); en: in std_logic; Dout: out std_logic_vector(7 downto 0); End ex5; Architecture arch of ex5 is Begin Process Begin If (En = 1) then 需加敏感信號(hào)列表 Dou

12、t = Din ; process(en, Din) Else Dout = “ZZZZZZZZ” ; End if ; End process; End arch ; 四、補(bǔ)充語(yǔ)句,完成下面所要求的描述。(20分,每小題5分)1) 四選一選擇器:輸入輸出信號(hào)如右圖所示,A和B為選擇信號(hào),inp3.0為4個(gè)信號(hào)輸入端,輸出信號(hào)為Y。library ieee;use ieee.std_logic_1164.all ;entity MUX41 isport( A, B : in std_logic ; inp: in std_logic_vector(3 downto 0); 1分Y: out s

13、td_logic);End MUX41 ;Architecture art of MUX41 isSignal sel : std_logic_vector(1 downto 0);Begin Sel = A & B ; 1分 process (inp , sel ) begin if (sel = “00” ) then Y= inp(0) ; 1分 elsif (sel =”01”) then Y = inp(1); 1分 elsif (sel = “10”) then Y= inp(2); 1分 Else Y = inp(3) ; End if ;End process ;End art

14、 ;2) 帶異步清零的8位計(jì)數(shù)器,輸入輸出如右圖所示,aclr為異步清零信號(hào), Clk為時(shí)鐘,Counter7.0為計(jì)數(shù)器輸出信號(hào)。 Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; 1分 entity Count8 isport(aclr, reset: in std_logic; Clk: in std_logic; Counter: out std_logic_vector(7 downto 0); End Count8 ; Architecture arch of Count8 is

15、Signal cntTmp: std_logic_vector(7 downto 0); 1分 begin process(aclr, Clk) begin if (aclr = 0) cmtTmp = “”; 1分 elsif (clkevent and clk = 1) then CntTmp = cntTmp + 1 ; 1分 End if ;End if ; End process ; Counter Qout Qout Qout = “” ;-只要有others即對(duì) 1分 End case ;End process;End arch ;4) 七段LED譯碼顯示電路,只須顯示09,Di

16、n3.0為輸入,Dout6.0為輸出。數(shù)碼管為共陰極,數(shù)碼管對(duì)應(yīng)關(guān)系如下圖所示,a對(duì)應(yīng)譯碼顯示輸出的低位,g對(duì)應(yīng)高位,其它按順序?qū)?yīng)。Library ieee;Use ieee.std_logic_1164.all;Entity decoder is Port(Din: in std_logic_vector(3 downto 0); Dout: out std_logic_vector(6 downto 0);End decoder ;Architecture arch of decoder isBeginDout = “” when Din = “0000” else “” when Di

17、n = “0001” else 1分 “” when Din = “0010” else “” when Din = “0011” else 1分 “” when Din = “0100” else “” when Din = “0101” else 1分 “” when Din = “0110” else “” when Din = “0111” else 1分 “” when Din = “1000” else “” when Din = “1001” else 1分 “” ; End arch ;五、用VHDL設(shè)計(jì)電路:(26分) 1. 用VHDL(必須使用元件例化方式)描述如下的方框圖

18、,十進(jìn)制計(jì)數(shù)器也要編寫。(14分) -十進(jìn)制計(jì)數(shù)器library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; 2分entity CNT10 isport(Clk: in std_logic; En: in std_logic; Carry: out std_logic ; CntOut: out std_logic_vector(3 downto 0);End CNT10; 1分Architecture arch of CNT10 isSignal CntOutTmp: std_logic_vector(

19、3 downto 0);BeginProcess(clk)Begin If (Clkevent and Clk = 1 ) then If (En = 1) then If (CntOutTmp = “1001”) then CntOutTmp = “0000” ; Carry = 1 ; else CntOutTmp = CntOutTmp+ 1 ; Carry = 0 ; End if ;End if ; End if ;End process ;CntOut = CntOutTmp ;End arch ; 3分-主程序library ieee;use ieee.std_logic_116

20、4.all; 1分entity top is port (Clk, en: in std_logic; carry : out std_logic; Dout: out std_logic_vector(7 downto 0);End top; 1分Architecture arch of top isComponent CNT10 Port(clk: in std_logic; En : in std_logic; Carry : out std_logic; CntOut : out sdd_logic_vector(3 downto 0);End Component ; 2分Signal

21、 carryTmp: std_logic;BeginU1: CNT10 port map(Clk, En, carryTmp, Dout(3 downto 0); 2分U2:CNT10 port map(carryTmp, En, carry, Dout(7 downto 4); 2分End arch ; 2. 用VHDL設(shè)計(jì)一個(gè)模為90,具有異步復(fù)位、同步置數(shù)功能的8421BCD碼計(jì)數(shù)器。輸入輸 出接口如圖所示,nReset為異步復(fù)位信號(hào),Load為用于同步置數(shù)的控制信號(hào),Clk為時(shí)鐘信號(hào),LoadData7.0為計(jì)數(shù)器初始計(jì)數(shù)數(shù)據(jù),Carry為進(jìn)位輸出產(chǎn)生的信號(hào),CL和CH分別為計(jì)數(shù)器數(shù)據(jù)輸出的低四位和高四位。(12分)library ieee;use ieee.std_logic_1164.all;use ieee.std_l

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論