實(shí)驗(yàn)5IP復(fù)用與系統(tǒng)設(shè)計(jì)_第1頁(yè)
實(shí)驗(yàn)5IP復(fù)用與系統(tǒng)設(shè)計(jì)_第2頁(yè)
實(shí)驗(yàn)5IP復(fù)用與系統(tǒng)設(shè)計(jì)_第3頁(yè)
實(shí)驗(yàn)5IP復(fù)用與系統(tǒng)設(shè)計(jì)_第4頁(yè)
實(shí)驗(yàn)5IP復(fù)用與系統(tǒng)設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩24頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 IP復(fù)用復(fù)用 FPGA原理與設(shè)計(jì)原理與設(shè)計(jì)基于基于ISE9.1i的設(shè)計(jì)輸入方法的設(shè)計(jì)輸入方法4.1 IP復(fù)用 IP(知識(shí)產(chǎn)權(quán))核將一些在數(shù)字電路中常用,但比較復(fù)雜的功能塊,如FIR濾波器、SDRAM控制器、PCI接口等設(shè)計(jì)做成一個(gè)“黑盒”或者是可修改參數(shù)的模塊,供設(shè)計(jì)者使用。IP核包括硬IP與軟IP。調(diào)用IP核能避免重復(fù)勞動(dòng),大大減輕設(shè)計(jì)人員的工作量。4.1 IP復(fù)用 Xilinx Core Generator采用了Smart IP技術(shù)和友好的用戶參數(shù)設(shè)置界面。使IP從生成到使用的過(guò)程簡(jiǎn)單,靈活,易用,高效,而且可以對(duì)IP使用的資源做一定估計(jì)。 4.1 IP復(fù)用 下面以一個(gè)10進(jìn)制計(jì)數(shù)器為例

2、,講解如何在ISE9.1i中生成IP和使用IP,實(shí)現(xiàn)設(shè)計(jì)。 1.設(shè)計(jì)要求設(shè)計(jì)要求 設(shè)計(jì)一個(gè)10進(jìn)制計(jì)數(shù)器: (1)計(jì)數(shù)頻率為1Hz (2)外部晶振為30MHz (3)使用7段LED顯示計(jì) 數(shù)器的值。 其原理框圖如右圖所示。 2.新建工程新建工程 3.新建新建VHDLVHDL(分頻器)分頻器)文件文件 4.編寫分頻器的編寫分頻器的VHDL源程序源程序 library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity div30 is Port (

3、 clkin : in std_logic; reset : in std_logic; clkout : out std_logic); end div30;architecture Behavioral of div30 is signal Reg_clk : std_logic :=0; begin clkout = Reg_clk ;process(clkin,reset)variable cnt : integer range 0 to 15000000 :=0;beginif reset = 0 then cnt :=0 ; Reg_clk = 0;elsif rising_edg

4、e(clkin) then cnt := cnt + 1; if cnt = 15000000 then cnt := 0; Reg_clk = not Reg_clk ; end if;end if;end process;end Behavioral;5.譯碼器設(shè)計(jì)譯碼器設(shè)計(jì) (1)定義端口 5.譯碼器設(shè)計(jì)譯碼器設(shè)計(jì) (2)編寫VHDL源程序 library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity decoder isPort (

5、 din : in std_logic_vector(3 downto 0); dout : out std_logic_vector(6 downto 0);end decoder;architecture Behavioral of decoder isbeginwith din select dout clk , reset = reset , clkout = clk1hz);u2: my_cou port map( clk = clk1hz , q = q );u3: decoder port map( din = q , dout = dout );end Behavioral;

6、7.建立一個(gè)建立一個(gè)IP文件文件IP 初始化窗口初始化窗口IP核生成器操作界面核生成器操作界面IP核核 在Xilinx Core Generator(IP核生成器)操作界面左邊的窗口中包含了很多文件夾,文件夾下又有子文件夾,子文件夾中裝的就是各種功能的IP。Core Generator中的IP相當(dāng)豐富,而且已經(jīng)分門別類裝在不同的文件夾中。如:Basic Elements(基本元素)中包含有一些最基本的功能IP,比較器,計(jì)數(shù)器,編碼器/譯碼器,格式轉(zhuǎn)換,邏輯門/緩沖器,各種存儲(chǔ)器,復(fù)選器,寄存器,移位寄存器等。IP核核 還包含有: Communication & Networking(通

7、訊和網(wǎng)絡(luò)) IP Digital Signal Processing(數(shù)字信號(hào)處理) IP Math Functions(數(shù)學(xué)功能) IP Memories & Storage Elements(存儲(chǔ)器) IP Prototype & Development Hardware Product(原形和開發(fā)硬 件產(chǎn)品) IP Standard Bus interfaces(標(biāo)準(zhǔn)總線接口) IP IP核生成器操作界面核生成器操作界面窗口中按鈕的含義窗口中按鈕的含義 7. IP參數(shù)設(shè)置參數(shù)設(shè)置 雙擊IP名 Binary Counter參數(shù)設(shè)置對(duì)話框 7. IP參數(shù)設(shè)置參數(shù)設(shè)置7. IP參數(shù)設(shè)置參數(shù)設(shè)置8.生成生成IP 8.生成生成IP報(bào)告顯示,生成的計(jì)數(shù)器將占用5個(gè)LUT(查找表),5個(gè)寄存器;設(shè)計(jì)100%的是RPM(Relationally Placed Macro) ,這是一種相關(guān)元素的布局方式(采用這些布局方式有一定的好處,有興趣的讀者可以參考相關(guān)書籍)。設(shè)計(jì)有1個(gè)CLB(可配置邏輯塊)寬,3個(gè)CLB高,一共使用了3個(gè)CL

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論