光通信實(shí)驗(yàn)系統(tǒng)實(shí)驗(yàn)指導(dǎo)書_第1頁
光通信實(shí)驗(yàn)系統(tǒng)實(shí)驗(yàn)指導(dǎo)書_第2頁
光通信實(shí)驗(yàn)系統(tǒng)實(shí)驗(yàn)指導(dǎo)書_第3頁
光通信實(shí)驗(yàn)系統(tǒng)實(shí)驗(yàn)指導(dǎo)書_第4頁
光通信實(shí)驗(yàn)系統(tǒng)實(shí)驗(yàn)指導(dǎo)書_第5頁
已閱讀5頁,還剩25頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、目錄實(shí)驗(yàn)一:實(shí)驗(yàn) 5 & 實(shí)驗(yàn) 9 2實(shí)驗(yàn)二:實(shí)驗(yàn) 7 11實(shí)驗(yàn)三:實(shí)驗(yàn) 8 & 實(shí)驗(yàn) 11 13實(shí)驗(yàn)四:實(shí)驗(yàn) 25 19實(shí)驗(yàn)一:注:按住 Ctrl 并單擊實(shí)驗(yàn)序號可快捷訪問 返回目錄實(shí)驗(yàn) 5 半導(dǎo)體光源 P-I 特性曲線測試一、實(shí)驗(yàn)?zāi)康模毫私夤庠春凸獍l(fā)送機(jī)的電光轉(zhuǎn)換原理;了解半導(dǎo)體光源的發(fā)光特性;比較 LD和 LED的 P-I 特性的區(qū)別。二、實(shí)驗(yàn)原理:激光二極管的基本結(jié)構(gòu)和工作原理: 在半導(dǎo)體激光器重要形成激光,需要具備以下兩個基本條件,一 是有源區(qū)里產(chǎn)生足夠的粒子數(shù)反轉(zhuǎn)分布,二是存在光學(xué)諧振腔機(jī)制, 并在有源區(qū)里建立起穩(wěn)定的震蕩。圖 5.1 示出的是雙異質(zhì)結(jié)( DH)激光器的條形結(jié)構(gòu),這

2、種結(jié)構(gòu)由 三層不同類型的半導(dǎo)體材料組成,不同材料發(fā)射不同的光波長。結(jié)構(gòu) 中間有一層厚 0.1 0.3 m 窄帶隙 P型半導(dǎo)體,稱為有源層;兩側(cè)分布為 寬帶隙的 P 型和 N 型半導(dǎo)體,稱為限制層。三層半導(dǎo)體置于基片(襯 底)上,前后兩個晶體解理面作為反射鏡構(gòu)成法布里 -珀羅( F-P)諧 振腔。1 / 19圖 5.2 所示為 DH激光器的工作原理。 由于限制層的帶隙比有源層 寬,施加正向偏壓后, P層的空穴和 N層的電子注入有源層。 P 層帶隙 寬,導(dǎo)帶的能態(tài)比有源層高,對注入的電子形成了勢壘,注入到有源 層的電子不可能擴(kuò)散到 P 層。同理,注入到有源層的空穴也不可能擴(kuò) 散到 N層。這樣,注入

3、到有源層的電子和空穴被限制在厚 0.1 0.3 m 的 有源層內(nèi)形成粒子束反轉(zhuǎn)分布,這時只要很小的外加電流,就可以使 電子和空穴濃度增加而提高效率。另一方面,有源層的折射率比限制 層高,產(chǎn)生的激光被限制在有源區(qū)內(nèi),因而光電轉(zhuǎn)換效率很高,輸出 激光的閥值電流很低,很小的散熱體就可以在室溫連續(xù)工作。發(fā)光二極管的基本結(jié)構(gòu)和工作原理:在光纖通信中使用的光源,除了半導(dǎo)體激光器( LD)以外,還有 半導(dǎo)體發(fā)光二級管( LED)。LED和 LD的工作原理不同, LD發(fā)射的是受 激輻射光, LED發(fā)射的是自發(fā)輻射光。 LED的結(jié)構(gòu)和 LD 相似,大多是 采用雙異質(zhì)結(jié)( DH)芯片,把有源層夾在 P 型和 N型

4、限制層中間,不 同的是 LED不需要光學(xué)諧振腔,沒有閥值。LED是由 GaAsAl類的 P 型材料和 N型材料制成,在兩種材料的交2 / 19界處形成了 PN結(jié)。若在其兩端加上正偏置電壓,則 N 區(qū)中的電子和 P 區(qū)中的空穴會流向 PN結(jié)區(qū)域并復(fù)合。 復(fù)合時電子從高能級范圍的導(dǎo)帶躍遷到低能級范圍的價帶,并釋放出能量約等于禁帶寬變Eg (導(dǎo)帶和 價帶只差)的光子,即發(fā)出熒光發(fā)光電二極管有兩種類型, 如圖 5.4 所示:一類是正面發(fā)光型 LED, 另一類是側(cè)面發(fā)光型 LED。兩者相比較而言, 側(cè)面發(fā)光型 LED驅(qū)動電流 較大,輸出光功率較小,但由于光束輻射角較小,和光纖的耦合效率較高,因而入纖光功

5、率比正面發(fā)光型 LED大。LED的 P-I 特性曲線如圖 5.5 所示,在低注入電流范圍內(nèi)其線性程 度比 LD好,且不存在 Ith ,所以 LED適合用在光纖模擬通信系統(tǒng)中。LED光功率的溫度穩(wěn)定性也比 LD好,其功率溫度系數(shù)約為 1%/ C (稱為負(fù)溫度系數(shù)) ,即 LED光功率隨溫度上升而緩慢減小。 LED的輸 出光功率最大可達(dá)幾個 mW。三、實(shí)驗(yàn)步驟:本實(shí)驗(yàn)項(xiàng)目為:半導(dǎo)體光源( LD)的 P-I 特性曲線測試,其中 P 為平均發(fā)送光功率, I 是注入電流,測試框圖如圖 5.6 所示,其中 S、 R 為活動連接器, RP103為可變電阻,位于數(shù)字光發(fā)送電路的上方。3 / 19本實(shí)驗(yàn)具體的實(shí)

6、驗(yàn)步驟為:碼型發(fā)生器自 A點(diǎn)(實(shí)驗(yàn)箱 TP102)給光發(fā)送機(jī)送方波信號作為 測試信號。實(shí)驗(yàn)時,通過鍵盤選擇方波信號 (平臺加電后, 先按下“復(fù) 位”鍵復(fù)位系統(tǒng),待出現(xiàn)“請選擇”提示后,選擇“方波”并按下“確 認(rèn)”),此時, TP102處應(yīng)該能夠測到方波信號。 為了把數(shù)字信號發(fā)往線 路,除了要用開關(guān) KP101 選擇數(shù)字信號輸入(開關(guān)推向“數(shù)字” ),還 需要通過 KP102 選擇模擬光源和數(shù)字光源驅(qū)動電路,本實(shí)驗(yàn)中選擇數(shù) 字光源驅(qū)動電路(開關(guān)推向“數(shù)字”即可) 。用光纖跳線連接光發(fā)送模塊 A 的光輸出和光功率計(jì),此時從光 功率計(jì)讀出的功率就是光端機(jī)的平均發(fā)送光功率 P。此時,測 LD負(fù)載電阻(

7、 R=R105+RP10)3電壓的方法,將萬用表 電壓量程撥至 2.5V 檔,萬用表黑表筆接測試點(diǎn) (A 單元 TP103、B 單元 TP203),紅表筆接 VCC電源正極或(A單元 N101(D)8 腳、B單元 N201(D)8 腳),模/ 數(shù)檢測切換可將( A單元 KP102、B單元 KP203)撥至對應(yīng)位 置, V/R=I 。 R 可用萬用表 R 1檔直接測得,測得的電壓除以電阻值 R=R105+RP10(3注:測電阻值時應(yīng)該將平臺供電切斷) ,其中 R105 是 51的固定電阻, RP103阻值為 TP103和 VCC之間的阻值,這樣便可以 得到注入電流 I。改變 RP103的阻值,得

8、到一組數(shù)據(jù), 我們便可以繪制 P-I 特性曲線。說明:試驗(yàn)中為了防止燒壞光發(fā)送組件,電流的調(diào)節(jié)范圍是有限 的(大概 30mA左右),因此測得只是 P-I 曲線的一段,但并不妨礙整 個 P-I 曲線的測量。四、實(shí)驗(yàn)報告要求:4 / 19畫出 P-I 曲線圖,并根據(jù)曲線特性分析光源是 LED還是 LD。如果 是 LD,其閾值電流是多少?五、思考題:為什么激光器的 P-I 曲線具有閾值特性?激光器的 P-I 曲線和 LED有什么不同?實(shí)驗(yàn) 9 光線路碼實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康模?. 了解光纖傳輸系統(tǒng)為什么要進(jìn)行碼型變化;2. 掌握 CMI編譯碼的原理;比較 CMI碼、 PCM碼和 PN碼的特點(diǎn)。二、實(shí)驗(yàn)原理

9、:CMI 編碼原理: 碼型變換的含義廣泛,本實(shí)驗(yàn)中介紹的碼型變換是指線路碼的編 碼和譯碼,我國規(guī)定了幾個在公用網(wǎng)上的碼型: 5B6B、CMI、擾碼二進(jìn) 制、 1B1H等。實(shí)驗(yàn)中將具體介紹 CMI的編解碼。CMI( Coded Mark Inversion )即編碼傳號反轉(zhuǎn),表 9.1 給出了其 編碼規(guī)則,傳號 1 由 11 和 00 交替表示(若前一個 1 為 11,則當(dāng)前 1 采用 00 表示,如此類推),而空號 0則固定地用 01 表示。表 9.1 CMI 編碼規(guī)則輸入二元碼CMI碼型001100 和 11 交替出現(xiàn)圖 9.1 給出了 CMI 編碼的波形示例,由于一個碼元變成了兩個, 因此

10、它屬于二電平的 1B2B碼。 CMI具有雙相碼的特點(diǎn),不怕信道相位 的反轉(zhuǎn)(信息碼為“ 1”時兩個線路碼相同;信息碼為“ 0”時,兩個 線路碼相反,信道相位反轉(zhuǎn)后,仍有此性質(zhì)) ,并且具有一定的糾錯能 力,易于實(shí)現(xiàn),易于提取定時時鐘,因此在低速系統(tǒng)中選為傳輸碼型。 在 ITU-T 的 G.703 建議中,規(guī)定 CMI為四次群( 139.264Mbit/s )的接 口碼型。5 / 19圖 9.2 給出了 CMI 的編碼原理框圖,編碼電路接收來自信號源的 單極性非歸零碼( NRZ),并把這種碼型變換成為 CMI碼送至光發(fā)送機(jī) 輸入若是傳號,則翻轉(zhuǎn)輸出;若是空號,則打開門開關(guān),使時鐘反向 輸出,電路

11、原理如圖 9.3 所示。實(shí)驗(yàn)系統(tǒng)中采用了可編程邏輯器件( PLD)來實(shí)現(xiàn) CMI的編譯碼 CMI編碼的 VHDL源程序如下: library ieee;use ieee.std_logic_1164.all;entity df isport(invert,clk:in std_logic;q:buffer std_logic);end df;architecture df of df is signal d:std_logic; begin d=q xor invert;processbeginwait until clk=1;q=d;end process;end df;library ie

12、ee;use ieee.std_logic_1164.all;entity cmi_code isport (nrz,clk:in std_logic; cmi:out std_logic);end cmi_code;architecture cmi_code of cmi_code iscomponent dfport(invert,clk:in std_logic;q:buffer std_logic);end component;signal a,b:std_logic;begincmi=a when nrz=1 else b;b= not clk;u:df port map(nrz,c

13、lk,a);end cmi_code;CMI 譯碼原理: 解碼的思路很簡單,當(dāng)時鐘和信道碼對齊時,如果輸入的是“ 11” 或“ 00”,則輸出“ 1”;如果輸入的是“ 01”,則輸出“ 0”。問題的關(guān) 鍵是怎樣將一系列的碼元正確地 2 個 2 個分組。經(jīng)過傳輸以后的 CMI 碼首先要提取位同步時鐘,接著抽樣判決。此時 CMI 碼流和發(fā)送的碼 流在波形上沒有區(qū)別(忽略誤碼情況) ,但是 2 個 2 個分組,卻有兩種7 / 19不同的情況,一種是正確的,可以得到正確的結(jié)果,而另一種則會導(dǎo) 致譯碼的錯誤。結(jié)合 CMI碼流的特點(diǎn),有兩種可以正確分組的方法: a.如果在碼流中檢測到了 0101的,那么可

14、以講緊挨著的 2 個碼元 分為一組;b.如果在碼元中檢測到 1 到 0 的跳變后,則可以將下降沿后的 2 個碼元分為一組。一般情況下,方法 b 更可以盡快地實(shí)現(xiàn)正確分組,接下來就是根 據(jù)編碼規(guī)則進(jìn)行譯碼了,這里介紹三種具體的解決方案:第一種方案:原理框圖如圖 9.4 所示:從位同步時鐘分離出兩路時鐘,他們和位同步時鐘同頻,但是占 空比不同,兩路時鐘的占空比都是 25%,但是兩者之間相差半個周期, 這樣就可以將每組中兩個碼元分開,從而形成第一路和第二路信號, 在兩路時鐘信號的正確作用下比較兩路信號, 便可以將 CMI編譯出來。第二種方案:原理框圖如圖 9.5 所示:可以看到,方案二本質(zhì)上和一是一

15、致的,差別在于找到正確分組 的方法,它利用二分頻以后的上升沿和下降沿來讀取兩路信號,即碼 流檢測的方法 b。第三種方案:原理框圖如圖 9.6 所示:這里的譯碼思想稍有變化, CMI碼流經(jīng)過串并轉(zhuǎn)換后, 在二分頻的 位同步時鐘的作用下讀出,進(jìn)行比較譯碼。三、實(shí)驗(yàn)步驟:了解了 CMI 的編譯碼原理以后,下面就可以開始動手驗(yàn)證了,在實(shí)驗(yàn)平臺 CMI編譯碼的框圖如圖 9.7 所示:具體的實(shí)驗(yàn)步驟如下:首先將鍵盤功能鍵選擇為“ CMI”并按確認(rèn)鍵確認(rèn);光發(fā)送單元 A的功能開關(guān) KP101、KP102撥向數(shù)字端,光收單元 A 的 KP103撥向數(shù)字端、 KP104撥向 PNO UT端、TP107的直流電壓

16、應(yīng)調(diào) 整在 1.5V 左右(聯(lián)合調(diào)節(jié) RP107、RP104、RP108的阻值),XP105的兩 個短路帽分別插入“ CMI”和“ PN OUT”功能腳位置;此時將示波器“ CH1”檢測棒接地端接光發(fā)送單元 A的接地端, 檢測端接 TP102 監(jiān)測點(diǎn),“CH2”檢測棒接地端接“誤碼檢測單元”的 接地端,檢測端接 TP601時使用 65kHz的同步時鐘信號輸出, 接 TP602 時使用清晰的編碼反轉(zhuǎn)信號( CMI碼);在光接收模塊 A測試點(diǎn) TP104和 TP105可以測試到經(jīng)光纖跳線9 / 19傳輸以后的 CMI碼波形, 同時在 TP107可以測到放大以后的 CMI波形; 在 TP602可以探

17、測到譯碼以后的 CMI 碼;在測試點(diǎn) TP601可以探測到 用來譯碼的 64kHz 的同步時鐘;測試點(diǎn) TP501輸出的是 CMI碼,系統(tǒng) 正是對輸入信號進(jìn)行 CMI 編碼的,實(shí)驗(yàn)時可以比較 TP602和 TP501的 波形,觀測兩個信號是否產(chǎn)生延遲。五、實(shí)驗(yàn)報告要求:記錄實(shí)驗(yàn)中各測試點(diǎn)的波形。比較分析觀測波形和理論波形是否一致,分析不一致的原因。 六、思考題:為什么要對傳輸?shù)男畔⑦M(jìn)行碼型變換?光通信中一般采用哪些碼 型變換?實(shí)驗(yàn)二: 返回目錄實(shí)驗(yàn) 7 平均發(fā)送光功率的測試一、實(shí)驗(yàn)?zāi)康模毫私鈹?shù)字光發(fā)送端機(jī)平均發(fā)送光功率的指標(biāo)要求,平均發(fā)送光 功率和注入電流的關(guān)系;掌握平均發(fā)送光功率的測試:觀察

18、不同編碼下的平均光功率值(結(jié)合線路碼型實(shí)驗(yàn)) 。二、實(shí)驗(yàn)原理:光端機(jī)的平均發(fā)送光功率指的是在正常工作條件下光端機(jī)輸出的 平均光功率,即光源尾纖輸出的平均光功率。平均發(fā)送光功率指標(biāo)和 實(shí)際的光纖線路有關(guān),在長距離的數(shù)字通信系統(tǒng)中,要求有較大的平 均發(fā)送光功率;在短距離的光纖數(shù)字通信系統(tǒng)中,要求較小的平均發(fā) 送光功率,因此,設(shè)計(jì)需要根據(jù)實(shí)際情況確定合適的數(shù)字,而不是越 大越好。測試時,應(yīng)該注意以下幾個問題:1. 測試信號的問題。根據(jù) ITU-T 的建議,不同碼速的光纖數(shù)字通 信系統(tǒng)要求送入不同的 PCM測試信號,例如 2.048Mbit/s 的數(shù)字系統(tǒng) 要求送入的(215-1 )偽隨機(jī)碼; 139

19、.264Mbit/s 的數(shù)字系統(tǒng)要求送入的 223-1 )偽隨機(jī)碼;2. 連接器的問題。用光纖跳線分別插入光發(fā)送端連接器和光功率 計(jì)連接器,此時從光功率計(jì)讀出的就是光端機(jī)進(jìn)入光纖線路的平均發(fā)10 / 19送光功率,注意的是光端機(jī)的平均發(fā)送光功率應(yīng)該考慮發(fā)端連接器的 損耗,即測得的光功率 P 是考慮了發(fā)端連接器的損耗的;光功率計(jì)的問題。有的光功率計(jì)可以直接讀 dBm,若只能讀 mW 或 W,應(yīng)該換算成 dBm,具體計(jì)算公式為:p 10lg 毫瓦值 (dBm) (公式 7.1 ) 1mW同時需要說明的是, 平均光功率和 PCM信號的碼型有關(guān), NRZ碼比 RZ碼(占空比 50%)要高 3dB(想想

20、為什么),此外,平均輸出光功率 和注入電流有關(guān),測試應(yīng)該在正常的注入電流條件下進(jìn)行。三、實(shí)驗(yàn)步驟:平均光功率測試的框圖如圖 5.6 所示。實(shí)驗(yàn)平臺采用 2M的偽隨機(jī)碼來測試平均光功率(為了簡化設(shè)備, 系統(tǒng)中的 PN序列長度只有 15 位),具體的實(shí)驗(yàn)步驟如下:1. 將設(shè)備復(fù)位,選擇“ PN”按下“確認(rèn)”鍵確認(rèn),示波器頻率檔 選在1 s, 電壓量程檔選在 2V檔;2. 將開關(guān) KP501撥向“ PN2 M輸出”,選擇系統(tǒng)內(nèi)部產(chǎn)生的 2M偽隨 機(jī)碼序列,將光發(fā)送單元 A 的功能開關(guān) KP101和 KP102撥向“數(shù)字”;示波器接地端接光發(fā)送單元 A的 GND,測試端接 TP102此時可看 見清晰的

21、 PN偽隨機(jī)碼波形;用光纖跳線分別插入發(fā)送端連接器和光功率計(jì)的輸入連接器插 頭,連接光發(fā)送端的光輸出和光功率計(jì);從光功率計(jì)上顯示平均光功率值。同樣,也可以選擇從鍵盤輸 入“方波”或“ CMI碼”,可以觀測光功率的變化。四、實(shí)驗(yàn)報告要求:分別用 dBm和 mW表示所測得的 2M PN碼發(fā)送機(jī)平均功率。分別用 dBm和 mW表示所測得的 2M CMI碼發(fā)送機(jī)平均功率。五、思考題:為什么不同的線路碼型具有不同的平均光功率? 實(shí)驗(yàn)三: 返回目錄 實(shí)驗(yàn) 8 消光比 EXT 測試一、實(shí)驗(yàn)?zāi)康模?了解數(shù)字光發(fā)送端機(jī)的消光比的定義及其測試方法。二、實(shí)驗(yàn)原理:從理想狀態(tài)講,當(dāng)數(shù)字電信號為“ 0”時,光發(fā)送機(jī)應(yīng)該

22、不發(fā)光, 只有當(dāng)數(shù)字電信號為“ 1”時光發(fā)送機(jī)才發(fā)出一個傳號光脈沖。但實(shí)際 上這是不可能的。以 LD為例,由于要對它進(jìn)行予偏置,且使其偏置電 流 I b 略小于閥值電流 I th 。因此即使在數(shù)字電信號為“ 0”的情況下, LD 也會發(fā)出極微弱的光 (熒光)。當(dāng)然這種發(fā)光越小越好, 于是就引出 了消光比的概念。消光比的定義是:“ 1”碼光脈沖功率和“ 0”碼光脈沖功率之比。 在這里我們采用一種簡便的說法。 實(shí)際上更嚴(yán)格的說法是: 電信號“1 碼輸入時光發(fā)送機(jī)的發(fā)光功率和電信號“ 0”碼輸入時光發(fā)送機(jī)的發(fā)光 功率之比。消光比的測試原理是:首先將光端機(jī)的輸入信號斷掉,測 出的光功率即為 P00,即

23、對應(yīng)輸入數(shù)字信號全部為 0 的時候的光功率; 然后選擇信號源輸入 PN序列,和測試平均發(fā)送光功率時相同,由于偽 隨機(jī)碼的“ 0”碼和“ 1”碼概率相等,因此全“ 1”碼的光功率應(yīng)該是 偽隨機(jī)碼時平均光功率的 2 倍,即 P11=2P,消光比計(jì)算公式為: EXT P00(公式 8.1 )P11此外,消光比還有以下的表達(dá)式:EXT 10lg P00 (dB)(公式 8.2 )P11三、實(shí)驗(yàn)步驟:消光比的測試框圖如圖 5.6 所示12 / 19具體的測試步驟如下:將開關(guān) KP501撥向“ PN2 M輸出”,選擇系統(tǒng)內(nèi)部產(chǎn)生的 2M偽隨 機(jī)碼序列;選擇光發(fā)送模塊 A,開關(guān) KP102選擇傳輸模擬信號,

24、不輸入信號, 此時將光功率計(jì)和光發(fā)送模塊 A 之間通過光纖跳線連接起來,測得的 光功率即為 P00;選擇光發(fā)送模塊 A,KP101選擇“數(shù)字”,KP102選擇“數(shù)字”, 平臺加電后,復(fù)位系統(tǒng),通過鍵盤選擇產(chǎn)生 2M的 PN數(shù)字序列,并將 光功率計(jì)和光發(fā)送模塊 A 之間用光纖跳線連接起來,測得的光功率即 為 P11 的一半;按照計(jì)算公式 8.1 計(jì)算消光比 EXT。四、實(shí)驗(yàn)報告要求:記錄實(shí)驗(yàn)過程,計(jì)算光發(fā)送機(jī)甲的消光比,并給予評價。五、思考題:為什么全零碼時,光發(fā)送機(jī)的平均光功率不等于零?這對系統(tǒng)性 能有什么影響?實(shí)驗(yàn) 11 光接收機(jī)靈敏度測試一、實(shí)驗(yàn)?zāi)康模?. 熟悉光接收機(jī)靈敏度的概念;2.

25、掌握光接收機(jī)靈敏度的測試方法。二、實(shí)驗(yàn)原理: 靈敏度是光接收機(jī)的重要指標(biāo)之一,它表示接收機(jī)接收微弱信號 的能力,是系統(tǒng)設(shè)計(jì)的重要依據(jù)。光靈敏度的定義是:在給定誤碼率 或信噪比的條件下,光接收機(jī)所能接收的最小平均光功率。在測量接收機(jī)靈敏度時,首先要確定系統(tǒng)所要求的誤碼率指標(biāo), 對于不同的光纖數(shù)字通信系統(tǒng),其誤碼率指標(biāo)是不一樣的。一般來講, 接收機(jī)要求的誤碼率越小,則靈敏度越低,即要求接收的光功率越大, 因此靈敏度并非是一個固定不變的值,它和誤碼率的要求有關(guān),測量 時先確定系統(tǒng)的要求的誤碼率,再測在該誤碼率條件下的靈敏度的數(shù) 值。光接收機(jī)的靈敏度定義為最小平均光功率,而不是指達(dá)到系統(tǒng)所 要求的誤碼

26、率所對應(yīng)的光功率。對某一接收機(jī)來講,光功率只要在它 的動態(tài)范圍內(nèi)變化,都能確保系統(tǒng)要求的誤碼率,但靈敏度只有一個, 即接收機(jī)所能接收的最小光功率。靈敏度指的是平均光功率,而非峰值功率,因此光接收機(jī)的靈敏 度就和傳輸信號的碼型有關(guān)。碼型不同,占空比不同,平均光功率也 就不同,靈敏度也就不同。對于 NRZ和 RZ兩種碼型來講,對比可以發(fā) 現(xiàn),當(dāng)“1”碼和“ 0”碼概率相等時, NRZ的平均光功率要比 RZ大 3dB, 因此測試靈敏度需要選擇合適的碼型。靈敏度的單位一般用 dBm來表示,它表示已 1mW功率為基礎(chǔ)的絕 對功率電平, 設(shè)測得的最小平均光功率為 P min ,則靈敏度可以表示為: PR

27、10lg Pmin (dBm) (公式 11.1 )1mW例如,當(dāng) PR 60dBm時,其最小平均光功率就是 10 9W ,P min越小, 接收機(jī)的靈敏度就越高。光接收機(jī)靈敏度測試框圖如圖 11.1 所示,將誤碼測試儀和光可變 衰減器和光線數(shù)字通信系統(tǒng)相連接。誤碼分析儀向光端機(jī)送入測試信 號,PCM測試信號為偽隨機(jī)碼,長度為 (2N 1) 。調(diào)整衰減器,逐步增加 光衰減,使輸入光接收機(jī)的光功率逐步減少,使系統(tǒng)處于誤碼狀態(tài)。/ 19然后,逐步減少光衰減器的衰減,逐漸增加光接收機(jī)的輸入光功率, 使誤碼逐漸減少,當(dāng)在一定的觀察時間內(nèi),使誤碼的個數(shù)少于某一要 求時,即達(dá)到系統(tǒng)所要求的誤碼率。在穩(wěn)定工

28、作一段時間后,從R 點(diǎn)斷開光端機(jī)的連接器,用光纖測試儀連接 R 點(diǎn)和光功率計(jì),測試測得 的光功率為 Pmin ,即為光接收機(jī)的最小可接收功率。在靈敏度測試時,一定要注意測試時間的長短,誤碼率是一個統(tǒng) 計(jì)平均的參數(shù),為了確定時間,使用以下的公式:Pe m (公式 11.2 )fbt公式( 11.2 )中 m是誤碼個數(shù), fb是系統(tǒng)碼速, t 是測試時間。由 上式可知,在碼速確定的情況下,只要在某一定的時間內(nèi)所記錄的誤 碼個數(shù)少于某一數(shù)值,就可以表示出要求的誤碼率,其最小測試時間 是應(yīng)能檢測到誤碼個數(shù)為 1 的時間,即式中設(shè) m=1時所需要的測試時 間,它可以表示為:t 1 (公式 11.3 )f

29、bPe由公式( 11.3 )可見,最小測試時間和碼速和誤碼率均有關(guān),各 類系統(tǒng)誤碼率不同時,光接收機(jī)的靈敏度測試時間 t 如下表所示: 表 11.1 靈敏度測試的最小時間碼速誤 碼 率2M8M34M140M10 98min2min29.1sec7.14sec10 105min1.2min10 1150min1min應(yīng)該指出, t 是要求某一誤碼率是, 光接收機(jī)靈敏度測試的最小時 間,實(shí)際上測試時間應(yīng)大于此時間,才能使測試結(jié)果更為準(zhǔn)確。三、實(shí)驗(yàn)步驟(按照系統(tǒng)調(diào)試基礎(chǔ)將 A 通道調(diào)試好):光接收機(jī) A 靈敏度測試步驟如下:首先按設(shè)備的復(fù)位鍵復(fù)位,選擇 PN,按下確認(rèn)鍵確認(rèn),示波器 檢測頻率檔選在

30、1s ,電壓量程檔選在 2V檔;將光發(fā)送單元 A的功能開關(guān) KP101和 KP102撥向數(shù)字端,將光 接收單元 A的功能開關(guān) KP103置數(shù)字端、 KP104置 PN AGC端,功能選 擇插座 XP105上的兩個短路帽分別插入 PN OUT和 PN AGC的功能腳位 內(nèi)使之連接選通,將數(shù)字信號產(chǎn)生電路中的開關(guān) KP501選擇 PN 2M輸/ 19出端,選擇傳輸?shù)氖窍到y(tǒng)內(nèi)部產(chǎn)生的 2M偽隨機(jī)序列, N 的取值為 4;示波器 CH1檢測棒接地端接光發(fā)送單元 A的接地端 GND,測試端 接 TP102,此時可看見清晰的 PN 序列信號波形,示波器 CH2測試棒接 地端接光接收單元 A 的接地端 GN

31、D,測試端接 TP107,重點(diǎn)調(diào)整 RP107 和 RP108將不失真信號調(diào)至最大,同時調(diào)整 RP108將測試端 TP108 上 的直流電位調(diào)至 1.5V 0.5V 左右;當(dāng)電路工作于“ PN偽隨機(jī)”狀態(tài)時,首先調(diào)可調(diào)電容 C713,重 點(diǎn)調(diào)整 C721,同時輔助調(diào)整可調(diào)電阻 RP702使 PN信號逐步同步, 同步 鎖定指示燈 LED601逐步熄滅, LCD顯示的誤碼數(shù)逐步減小,反復(fù)調(diào)整 光接收單元 A 和鎖相環(huán)電路的相關(guān)調(diào)整點(diǎn)使 PN 信號最終走向同步鎖 定;按圖 11.1 將光衰減器接入光發(fā)送模塊 A和光接收模塊 A間,調(diào) 節(jié)可變光衰減器增大衰減使液晶屏誤碼顯示跳動,記錄此時的光功率 PR

32、;如果實(shí)驗(yàn)室沒有配備光衰減器,可以通過實(shí)驗(yàn)平臺中的電路衰 減器來模擬光路衰減。調(diào)節(jié)光接收模塊 A 的可調(diào)電阻 RP107,降低 MAX435的放大倍數(shù)來模擬線路上的衰減。當(dāng)衰減足夠大時,將超出 AD603的自動增益控制范圍, 致使其輸出信號幅度銳減, 誤碼計(jì)數(shù)漸增。 實(shí)驗(yàn)平臺的誤碼測試功能雖然不像誤碼分析儀那樣齊全,但可以通過 液晶屏幕顯示的誤碼個數(shù)來自定義誤碼率, 比如說 1 分鐘出現(xiàn)了 10 個 誤碼,調(diào)節(jié)上述可變電阻,減小電路中衰減,直到誤碼符合剛自定義 過得要求,可以測試 MAX435的輸出波動很小,模擬了輸入光功率也很 小。四、實(shí)驗(yàn)報告要求: 根據(jù)實(shí)驗(yàn)情況,自定義一個合適的誤碼標(biāo)準(zhǔn),

33、并記錄實(shí)驗(yàn)過程, 分析系統(tǒng)靈敏度。五、思考題: 接收到的光功率增大時,誤碼率會減小嗎?如果接收到的光功率 一直增加下去,會有什么現(xiàn)象?實(shí)驗(yàn)四: 返回目錄實(shí)驗(yàn) 25 模擬話音光傳輸實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康模? 19熟悉光纖通信模擬電話原理;了解系統(tǒng)的性能和測試;熟悉每一測試點(diǎn)的波形。二、實(shí)驗(yàn)原理: 話音電話光纖傳輸實(shí)驗(yàn)系統(tǒng)框圖如圖 25.1 所示:我們的模擬通信采用的是光強(qiáng)調(diào)制系統(tǒng)。這是一種最簡單的調(diào)制 方式。模擬信號是一種基帶信號,它沒有經(jīng)過任何調(diào)制而去直接調(diào)制 光源。模擬基帶直接強(qiáng)度調(diào)制光通信系統(tǒng)是所有光通信系統(tǒng)中設(shè)備最 簡單和成本最低的一種光纖通信系統(tǒng)。 適用于小容量短距離光纖通信, 特別適用于頻

34、帶較寬的電視信號傳輸。由于直接強(qiáng)度調(diào)制方式光功率 的時間響應(yīng)直接和電信號功率的時間響應(yīng)成正比,為此,要使信號進(jìn) 行不失真的傳輸,就要求直接光強(qiáng)調(diào)制光纖通信系統(tǒng)中的光/ 電和電 /光轉(zhuǎn)換具有良好的線性。一般來說,作為電 / 光轉(zhuǎn)換的光源,由于處在 大信號下工作,它的線性較差。而作為光 / 電變換器的光檢測器,由于 在小信號條件下工作,它的線性好,因而它對非線性失真影響較小。 但是由于光檢測器的輸入信號功率為全系統(tǒng)中最低,因而對全系統(tǒng)的 信噪比的影響較大。模擬基帶直接強(qiáng)度調(diào)制的光纖傳輸系統(tǒng)對光發(fā)端機(jī)的要求是: 1. 輸出功率要大,這樣,在接收靈敏度一定時,發(fā)送光功率越大,允許 系統(tǒng)傳輸損耗越大,系

35、統(tǒng)的傳輸距離越長。光纖通信中光源常用半導(dǎo) 體 LED和 LD兩種。LD輸出光功率大于 LED輸出光功率, 因此,從輸出 光功率這點(diǎn)來說,光源采用 LD比 LED要好;2. 輸出光功率溫度穩(wěn)定性/ 19要好,這樣才能保證各種溫度時的傳輸距離。 LD 是一種有閥值的發(fā)光 器件,閥值隨環(huán)境溫度影響較大,因而在相同的驅(qū)動電流下,輸出光 功率隨環(huán)境溫度變化較大。 為使 LD能在各種環(huán)境溫度時保持恒定的光 功率輸出,光發(fā)送機(jī)需要采用自動溫控控制( ATC)和自動光功率控制 (APC)電路,從而大大增加了電子線路復(fù)雜性和增加成本。 LED輸出 光功率隨環(huán)境的變化較遲鈍,一般都不需要加 ATC和 APC電路來

36、恒定 光功率,電路簡單,成本低。因此,從電子線路的復(fù)雜性來說采用 LED 比 LD好; 3.調(diào)制度 m要大。 m大接收機(jī)的信噪比就高。也就是說接收 機(jī)的靈敏度就高。但 m不能太高,它要受到光源的 P-I 特性曲線兩端 彎曲部分非線性制約; 4. 非線性失真要小。系統(tǒng)的非線性主要取決于 光源。因系統(tǒng)中電子線路的非線性,一般都遠(yuǎn)小于光源的非線性,可 以略去不計(jì)。所以,要求系統(tǒng)非線性小,就是要求光源非線性要小。 光源非線性小, 就可使 m大和 DG、DP小。LED光源的線性要比 LD光源 的線性好得多。因此,從這一點(diǎn)來說, LED比 LD 好。從以上對模擬光 發(fā)端機(jī)的要求看,模擬基帶直接強(qiáng)度調(diào)制選用 LED光源比 LD光源要好。模擬光接收機(jī)的要求: 1. 信噪比要高; 2. 頻帶要寬; 3. 幅度特性 要好。光通信中常用的

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論