實(shí)驗(yàn)六 VGA顯示控制器設(shè)計(jì)_第1頁
實(shí)驗(yàn)六 VGA顯示控制器設(shè)計(jì)_第2頁
實(shí)驗(yàn)六 VGA顯示控制器設(shè)計(jì)_第3頁
實(shí)驗(yàn)六 VGA顯示控制器設(shè)計(jì)_第4頁
實(shí)驗(yàn)六 VGA顯示控制器設(shè)計(jì)_第5頁
已閱讀5頁,還剩140頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

實(shí)驗(yàn)六VGA顯示控制器的設(shè)計(jì)(1)實(shí)驗(yàn)?zāi)康模簩W(xué)習(xí)VGA圖像顯示控制器的設(shè)計(jì)。(2)實(shí)驗(yàn)原理:55個(gè)信號(hào)R、G、B:三基色信號(hào)HS:行同步信號(hào)VS:場同步信號(hào)VGA工業(yè)標(biāo)準(zhǔn)要求的頻率:時(shí)鐘頻率(Clockfrequency):25.175MHz(像素輸出的頻率)行頻(Linefrequency):31469Hz場頻(Fieldfrequency):59.94Hz(每秒圖像刷新頻率)VGA行掃描、場掃描時(shí)序示意圖實(shí)現(xiàn)電路【例13-1】LIBRARYIEEE;--VGA顯示器彩條發(fā)生器USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCOLORISPORT(CLK,HS,VS,R,G,B:OUTSTD_LOGIC);--行場同步/紅,綠,蘭ENDCOLOR;ARCHITECTUREbehavOFCOLORISSIGNALHS1,VS1,FCLK,CCLK:STD_LOGIC;SIGNALMMD:STD_LOGIC_VECTOR(1DOWNTO0);--方式選擇SIGNALFS:STD_LOGIC_VECTOR(3DOWNTO0);SIGNALCC:STD_LOGIC_VECTOR(4DOWNTO0);--行同步/橫彩條生成SIGNALLL:STD_LOGIC_VECTOR(8DOWNTO0);--場同步/豎彩條生成SIGNALGRBX:STD_LOGIC_VECTOR(3DOWNTO1);--X橫彩條SIGNALGRBY:STD_LOGIC_VECTOR(3DOWNTO1);--Y豎彩條SIGNALGRBP:STD_LOGIC_VECTOR(3DOWNTO1);SIGNALGRB:STD_LOGIC_VECTOR(3DOWNTO1);BEGINGRB(2)<=(GRBP(2)XORMD)ANDHS1ANDVS1;GRB(3)<=(GRBP(3)XORMD)ANDHS1ANDVS1;GRB(1)<=(GRBP(1)XORMD)ANDHS1ANDVS1;PROCESS(MD)BEGINIFMD'EVENTANDMD='0'THENIFMMD="10"THENMMD<="00";ELSEMMD<=MMD+1;ENDIF;--三種模式ENDIF;ENDPROCESS;PROCESS(MMD)BEGINIFMMD="00"THENGRBP<=GRBX;--選擇橫彩條ELSIFMMD="01"THENGRBP<=GRBY;--選擇豎彩條ELSIFMMD="10"THENGRBP<=GRBXXORGRBY;--產(chǎn)生棋盤格ELSEGRBP<="000";ENDIF;ENDPROCESS;PROCESS(CLK)BEGINIFCLK'EVENTANDCLK='1'THEN--13MHz13分頻IFFS=13THENFS<="0000";ELSEFS<=(FS+1);ENDIF;ENDIF;ENDPROCESS;FCLK<=FS(3);CCLK<=CC(4);PROCESS(FCLK)BEGINIFFCLK'EVENTANDFCLK='1'THENIFCC=29THENCC<="00000";ELSECC<=CC+1;ENDIF;ENDIF;ENDPROCESS;PROCESS(CCLK)BEGINIFCCLK'EVENTANDCCLK='0'THENIFLL=481THENLL<="000000000";ELSELL<=LL+1;ENDIF;ENDIF;ENDPROCESS;PROCESS(CC,LL)BEGINIFCC>23THENHS1<='0';--行同步ELSEHS1<='1';ENDIF;IFLL>479THENVS1<='0';--場同步ELSEVS1<='1';ENDIF;ENDPROCESS;PROCESS(CC,LL)BEGINIFCC<3THENGRBX<="111";--橫彩條ELSIFCC<6THENGRBX<="110";ELSIFCC<9THENGRBX<="101";ELSIFCC<13THENGRBX<="100";ELSIFCC<15THENGRBX<="011";ELSIFCC<18THENGRBX<="010";ELSIFCC<21THENGRBX<="001";ELSEGRBX<="000";ENDIF;IFLL<60THENGRBY<="111";--豎彩條ELSIFLL<130THENGRBY<="110";ELSIFLL<180THENGRBY<="101";ELSIFLL<240THENGRBY<="100";ELSIFLL<300THENGRBY<="011";ELSIFLL<360THENGRBY<="010";ELSIFLL<420THENGRBY<="001";ELSEGRBY<="000";ENDIF;ENDPROCESS;HS<=HS1;VS<=VS1;R<=GRB(2);G<=GRB(3);B<=GRB(1);ENDbehav;(2)實(shí)驗(yàn)內(nèi)容1:根據(jù)圖13-3和程序13-1,完成VGA彩條信號(hào)顯示的驗(yàn)證性實(shí)驗(yàn)。根據(jù)圖13-3引腳鎖定:R、G、B分別接PIO68、PIO69、PIO70;HS、VS分別接PIO71、PIO73;CLK接clock9(13MHz),MD接PIO0,控制顯示模式。接上VGA顯示器,選擇模式5,下載COLOR.SOF;控制鍵1,觀察顯示器工作(如果顯示不正常,將GW48系統(tǒng)右側(cè)開關(guān)撥以下,最后再撥回到“TO_MCU”)。(3)實(shí)驗(yàn)內(nèi)容2:設(shè)計(jì)可顯示橫彩條與棋盤格相間的VGA彩條信號(hào)發(fā)生器。(4)實(shí)驗(yàn)內(nèi)容3:設(shè)計(jì)可顯示英語字母的VGA信號(hào)發(fā)生器電路。(5)實(shí)驗(yàn)報(bào)告:根據(jù)以上的實(shí)驗(yàn)內(nèi)容寫出實(shí)驗(yàn)報(bào)告,包括程序框圖說明、各模塊工作原理、軟件編譯過程中遇到的問題、硬件測試和實(shí)驗(yàn)過程。下面是能力培養(yǎng)VGA圖像控制器框圖【例13-2】LIBRARYieee;--圖象顯示頂層程序USEieee.std_logic_1164.all;ENTITYimgIS port (clk50MHz:INSTD_LOGIC; hs, vs, r,g,b:OUTSTD_LOGIC);ENDimg;ARCHITECTUREmodelstruOFimgIScomponentvga640480--VGA顯示控制模塊 PORT(clk:INSTD_LOGIC; rgbin:INSTD_LOGIC_VECTOR(2downto0); hs,vs,r,g,b:OUTSTD_LOGIC; hcntout,vcntout:OUTSTD_LOGIC_VECTOR(9downto0) );endcomponent;componentimgrom--圖象數(shù)據(jù)ROM,數(shù)據(jù)線3位;地址線13位 PORT(inclock:INSTD_LOGIC; address:INSTD_LOGIC_VECTOR(11downto0); q:OUTSTD_LOGIC_VECTOR(2downto0) );endcomponent;signal rgb:STD_LOGIC_VECTOR(2downto0);signal clk25MHz:std_logic;signal romaddr:STD_LOGIC_VECTOR(11downto0);signal hpos,vpos :std_logic_vector(9downto0);BEGINromaddr<=vpos(5downto0)&hpos(5downto0);process(clk50MHz)beginifclk50MHz'eventandclk50MHz='1'thenclk25MHz<=notclk25MHz;endif;endprocess;i_vga640480:vga640480PORTMAP(clk=>clk25MHz,rgbin=>rgb,hs=>hs,vs=>vs,r=>r,g=>g,b=>b,hcntout=>hpos,vcntout=>vpos);i_rom:imgromPORTMAP(inclock=>clk25MHz,address=>romaddr,q=>rgb);END;【例13-3】LIBRARYIEEEuseIEEE.std_logic_1164.all;use IEEE.STD_LOGIC_UNSIGNED.ALL;entityvga640480is port( clk :inSTD_LOGIC; hs, vs, r,g,b :outSTD_LOGIC; rgbin :instd_logic_vector(2downto0); hcntout,vcntout :outstd_logic_vector(9downto0) );endvga640480;architectureONEofvga640480issignalhcnt,vcnt :std_logic_vector(9downto0); beginhcntout<=hcnt;vcntout<=vcnt;process(clk)begin if(rising_edge(clk))then if(hcnt<800)then hcnt<=hcnt+1; elsehcnt<=(others=>'0');endif; endif; endprocess;process(clk)begin if(rising_edge(clk))then if(hcnt=640+8)then if(vcnt<525)then vcnt<=vcnt+1; elsevcnt<=(others=>'0'); endif;endif; endif; endprocess;process(clk)begin if(rising_edge(clk))then if((hcnt>=640+8+8)and(hcnt<640+8+8+96))thenhs<='0'; elsehs<='1'; endif; endif;endprocess;process(vcnt)begin if((vcnt>=480+8+2)and(vcnt<480+8+2+2))thenvs<='0'; elsevs<='1';endif;endprocess;process(clk)begin if(rising_edge(clk))then if(hcnt<640andvcnt<480)then r<=rgbin(2);g<=rgbin(1);b<=rgbin(0); elser<='0';g<='0';b<='0';endif; endif;endprocess;endONE;13-2.VGA圖像顯示控制器設(shè)計(jì)(1)實(shí)驗(yàn)內(nèi)容1:根據(jù)圖13-4和程序例13-2/3,設(shè)計(jì)與生成圖象數(shù)據(jù);根據(jù)例13-2中imgrom元件的接口,定制放置圖象數(shù)據(jù)的ROM。(2)實(shí)驗(yàn)內(nèi)容2:硬件驗(yàn)證例13-2/3,選擇模式5,引腳連接方式仍同圖13-4,只是時(shí)鐘輸入clk50MHz接clock0,選擇頻率50MHz的時(shí)鐘信號(hào)。在EDA系統(tǒng)上接上VGA顯示器,下載后觀察圖形顯示情況。(3)實(shí)驗(yàn)內(nèi)容3:為此設(shè)計(jì)增加一個(gè)鍵,控制輸出圖象的正色與補(bǔ)色。(4)實(shí)驗(yàn)內(nèi)容4:為了顯示更大的圖象,用外部ROM取代FPGA的內(nèi)部ROM,即imgrom元件,電路結(jié)構(gòu)參考圖13-4,引腳鎖定參考電路結(jié)構(gòu)圖NO.5圖中的ROM27C020/27C040與FPGA的引腳連接情況。示例程序可下載./VGA88/vgarom.sof,或./VGAbb/vgarom.sof,clock0接50MHz,GW48EDA系統(tǒng)左下角的撥碼開關(guān)的“ROM使能”撥向下(如果顯示不正常,將EDA系統(tǒng)右側(cè)開關(guān)撥以下,最后再撥回到“TO_MCU”)。選擇模式5,鍵1控制圖象的正色與補(bǔ)色顯示。注意,實(shí)驗(yàn)結(jié)束后將撥碼開關(guān)的“ROM使能”撥向上還原。下面是Imgrom模塊里的幾個(gè)MIF數(shù)據(jù)(清華的標(biāo)志)。如果位數(shù)不同,請(qǐng)修改ROM的地址寬度。1號(hào)WIDTH=1;DEPTH=16384;ADDRESS_RADIX=UNS;DATA_RADIX=UNS;CONTENTBEGIN [0..309]:0; [310..329]:1; [330..432]:0; [433..462]:1; [463..556]:0; [557..568]:1; [569..583]:0; [584..594]:1; [595..682]:0; [683..690]:1; [691..716]:0; [717..725]:1; [726..807]:0; [808..814]:1; [815..848]:0; [849..855]:1; [856..933]:0; [934..939]:1; [940..957]:0; [958..961]:1; [962..979]:0; [980..985]:1; [986..1059]:0; [1060..1065]:1; [1066..1082]:0; [1083..1092]:1; [1093..1110]:0; [1111..1115]:1; [1116..1185]:0; [1186..1190]:1; [1191..1209]:0; [1210..1215]:1; 1216:0; [1217..1221]:1; [1222..1240]:0; [1241..1245]:1; [1246..1311]:0; [1312..1316]:1; [1317..1336]:0; [1337..1340]:1; [1341..1347]:0; [1348..1350]:1; [1351..1370]:0; [1371..1375]:1; [1376..1438]:0; [1439..1443]:1; [1444..1462]:0; [1463..1466]:1; [1467..1476]:0; [1477..1480]:1; [1481..1500]:0; [1501..1505]:1; [1506..1564]:0; [1565..1569]:1; [1570..1589]:0; [1590..1594]:1; [1595..1604]:0; [1605..1609]:1; [1610..1629]:0; [1630..1634]:1; [1635..1691]:0; [1692..1695]:1; [1696..1716]:0; [1717..1720]:1; [1721..1735]:0; [1736..1738]:1; [1739..1759]:0; [1760..1763]:1; [1764..1817]:0; [1818..1822]:1; [1823..1843]:0; [1844..1846]:1; [1847..1852]:0; [1853..1858]:1; [1859..1864]:0; [1865..1867]:1; [1868..1889]:0; [1890..1893]:1; [1894..1944]:0; [1945..1948]:1; [1949..1971]:0; [1972..1974]:1; [1975..1979]:0; [1980..1982]:1; [1983..1985]:0; [1986..1987]:1; [1988..1993]:0; [1994..1996]:1; [1997..2018]:0; [2019..2022]:1; [2023..2071]:0; [2072..2075]:1; [2076..2098]:0; [2099..2101]:1; [2102..2107]:0; 2108:1; [2109..2114]:0; 2115:1; [2116..2121]:0; [2122..2124]:1; [2125..2147]:0; [2148..2151]:1; [2152..2198]:0; [2199..2202]:1; [2203..2226]:0; [2227..2229]:1; [2230..2234]:0; [2235..2236]:1; [2237..2242]:0; [2243..2244]:1; [2245..2249]:0; [2250..2253]:1; [2254..2277]:0; [2278..2280]:1; [2281..2325]:0; [2326..2328]:1; [2329..2350]:0; [2351..2357]:1; [2358..2362]:0; [2363..2364]:1; [2365..2370]:0; [2371..2372]:1; [2373..2378]:0; [2379..2384]:1; [2385..2406]:0; [2407..2409]:1; [2410..2452]:0; [2453..2455]:1; [2456..2476]:0; [2477..2485]:1; [2486..2490]:0; [2491..2500]:1; [2501..2505]:0; [2506..2514]:1; [2515..2527]:0; 2528:1; [2529..2535]:0; [2536..2538]:1; [2539..2579]:0; [2580..2582]:1; [2583..2603]:0; [2604..2608]:1; [2609..2638]:0; [2639..2643]:1; [2644..2654]:0; [2655..2657]:1; [2658..2664]:0; [2665..2667]:1; [2668..2706]:0; [2707..2709]:1; [2710..2716]:0; [2717..2719]:1; [2720..2730]:0; [2731..2734]:1; [2735..2768]:0; [2769..2772]:1; [2773..2781]:0; [2782..2784]:1; [2785..2793]:0; [2794..2796]:1; [2797..2833]:0; [2834..2836]:1; [2837..2843]:0; [2844..2849]:1; [2850..2857]:0; [2858..2861]:1; [2862..2897]:0; [2898..2901]:1; [2902..2909]:0; [2910..2911]:1; [2912..2922]:0; [2923..2925]:1; [2926..2960]:0; [2961..2963]:1; [2964..2972]:0; 2973:1; [2974..2975]:0; [2976..2979]:1; [2980..2985]:0; [2986..2988]:1; [2989..3026]:0; [3027..3029]:1; [3030..3036]:0; [3037..3038]:1; [3039..3042]:0; [3043..3044]:1; [3045..3051]:0; [3052..3054]:1; [3055..3087]:0; [3088..3090]:1; [3091..3100]:0; [3101..3102]:1; [3103..3104]:0; [3105..3108]:1; [3109..3112]:0; [3113..3116]:1; [3117..3155]:0; [3156..3158]:1; [3159..3163]:0; [3164..3165]:1; [3166..3170]:0; [3171..3172]:1; [3173..3180]:0; [3181..3183]:1; [3184..3214]:0; [3215..3218]:1; [3219..3229]:0; 3230:1; [3231..3232]:0; 3233:1; 3234:0; [3235..3236]:1; [3237..3240]:0; [3241..3243]:1; [3244..3247]:0; 3248:1; [3249..3251]:0; 3252:1; [3253..3255]:0; 3256:1; [3257..3259]:0; 3260:1; [3261..3263]:0; 3264:1; [3265..3266]:0; [3267..3268]:1; [3269..3270]:0; 3271:1; [3272..3274]:0; 3275:1; [3276..3278]:0; 3279:1; [3280..3283]:0; [3284..3286]:1; [3287..3291]:0; [3292..3293]:1; [3294..3297]:0; [3298..3299]:1; [3300..3309]:0; [3310..3312]:1; [3313..3342]:0; [3343..3345]:1; [3346..3357]:0; [3358..3360]:1; [3361..3367]:0; [3368..3370]:1; [3371..3412]:0; [3413..3415]:1; [3416..3419]:0; [3420..3421]:1; [3422..3424]:0; [3425..3426]:1; [3427..3437]:0; [3438..3441]:1; [3442..3469]:0; [3470..3472]:1; [3473..3485]:0; [3486..3487]:1; [3488..3495]:0; [3496..3498]:1; [3499..3540]:0; [3541..3543]:1; [3544..3548]:0; [3549..3554]:1; [3555..3559]:0; 3560:1; [3561..3566]:0; [3567..3569]:1; [3570..3596]:0; [3597..3599]:1; [3600..3605]:0; [3606..3607]:1; [3608..3614]:0; 3615:1; [3616..3623]:0; [3624..3626]:1; [3627..3668]:0; [3669..3671]:1; [3672..3677]:0; [3678..3680]:1; [3681..3685]:0; [3686..3689]:1; [3690..3695]:0; [3696..3698]:1; [3699..3724]:0; [3725..3727]:1; [3728..3734]:0; [3735..3737]:1; [3738..3742]:0; 3743:1; [3744..3751]:0; [3752..3754]:1; [3755..3797]:0; [3798..3799]:1; [3800..3812]:0; [3813..3817]:1; [3818..3824]:0; [3825..3827]:1; [3828..3851]:0; [3852..3854]:1; [3855..3863]:0; [3864..3866]:1; [3867..3879]:0; [3880..3881]:1; [3882..3925]:0; [3926..3928]:1; [3929..3939]:0; [3940..3941]:1; [3942..3943]:0; 3944:1; [3945..3952]:0; [3953..3955]:1; [3956..3978]:0; [3979..3981]:1; [3982..3992]:0; [3993..3995]:1; [3996..4006]:0; [4007..4009]:1; [4010..4053]:0; [4054..4056]:1; [4057..4065]:0; [4066..4068]:1; [4069..4070]:0; [4071..4072]:1; [4073..4075]:0; 4076:1; [4077..4081]:0; [4082..4084]:1; [4085..4106]:0; [4107..4109]:1; [4110..4114]:0; [4115..4116]:1; [4117..4121]:0; [4122..4123]:1; [4124..4134]:0; [4135..4141]:1; [4142..4143]:0; 4144:1; [4145..4147]:0; 4148:1; [4149..4151]:0; 4152:1; [4153..4154]:0; [4155..4156]:1; [4157..4158]:0; [4159..4160]:1; [4161..4162]:0; [4163..4164]:1; [4165..4166]:0; [4167..4168]:1; [4169..4170]:0; [4171..4172]:1; [4173..4174]:0; [4175..4176]:1; [4177..4178]:0; [4179..4184]:1; [4185..4194]:0; 4195:1; [4196..4198]:0; 4199:1; [4200..4202]:0; [4203..4204]:1; [4205..4210]:0; [4211..4212]:1; [4213..4233]:0; [4234..4236]:1; [4237..4242]:0; [4243..4245]:1; [4246..4250]:0; 4251:1; [4252..4262]:0; [4263..4265]:1; [4266..4309]:0; [4310..4312]:1; [4313..4325]:0; [4326..4327]:1; 4328:0; [4329..4331]:1; [4332..4338]:0; [4339..4341]:1; [4342..4361]:0; [4362..4363]:1; [4364..4372]:0; [4373..4375]:1; [4376..4377]:0; [4378..4379]:1; [4380..4390]:0; [4391..4393]:1; [4394..4438]:0; [4439..4440]:1; [4441..4453]:0; [4454..4458]:1; [4459..4467]:0; [4468..4470]:1; [4471..4488]:0; [4489..4491]:1; [4492..4501]:0; [4502..4506]:1; [4507..4518]:0; [4519..4520]:1; [4521..4566]:0; [4567..4568]:1; [4569..4580]:0; [4581..4585]:1; [4586..4595]:0; [4596..4598]:1; [4599..4616]:0; [4617..4618]:1; [4619..4630]:0; [4631..4633]:1; [4634..4646]:0; [4647..4648]:1; [4649..4694]:0; [4695..4696]:1; [4697..4709]:0; [4710..4711]:1; [4712..4717]:0; [4718..4719]:1; [4720..4724]:0; [4725..4727]:1; [4728..4743]:0; [4744..4746]:1; [4747..4774]:0; [4775..4776]:1; [4777..4822]:0; [4823..4825]:1; [4826..4843]:0; [4844..4847]:1; [4848..4852]:0; [4853..4855]:1; [4856..4871]:0; [4872..4873]:1; [4874..4878]:0; [4879..4881]:1; [4882..4902]:0; [4903..4904]:1; [4905..4915]:0; 4916:1; [4917..4931]:0; 4932:1; [4933..4934]:0; 4935:1; [4936..4937]:0; 4938:1; [4939..4940]:0; 4941:1; [4942..4943]:0; 4944:1; [4945..4946]:0; 4947:1; [4948..4950]:0; [4951..4953]:1; [4954..4969]:0; [4970..4973]:1; [4974..4981]:0; [4982..4984]:1; [4985..4998]:0; [4999..5001]:1; [5002..5006]:0; [5007..5011]:1; [5012..5029]:0; [5030..5032]:1; [5033..5035]:0; [5036..5037]:1; [5038..5039]:0; 5040:1; [5041..5042]:0; [5043..5044]:1; [5045..5047]:0; 5048:1; [5049..5051]:0; [5052..5053]:1; [5054..5058]:0; [5059..5060]:1; [5061..5062]:0; 5063:1; [5064..5065]:0; 5066:1; [5067..5068]:0; 5069:1; [5070..5071]:0; 5072:1; [5073..5074]:0; [5075..5076]:1; [5077..5078]:0; [5079..5081]:1; [5082..5096]:0; [5097..5100]:1; [5101..5109]:0; [5110..5112]:1; [5113..5126]:0; [5127..5129]:1; [5130..5136]:0; [5137..5141]:1; [5142..5157]:0; [5158..5160]:1; [5161..5163]:0; [5164..5165]:1; [5166..5167]:0; 5168:1; [5169..5170]:0; [5171..5172]:1; [5173..5175]:0; 5176:1; [5177..5179]:0; [5180..5181]:1; [5182..5186]:0; [5187..5188]:1; [5189..5190]:0; 5191:1; [5192..5193]:0; 5194:1; [5195..5196]:0; 5197:1; [5198..5199]:0; 5200:1; [5201..5202]:0; [5203..5204]:1; [5205..5206]:0; [5207..5209]:1; [5210..5223]:0; [5224..5226]:1; [5227..5232]:0; 5233:1; [5234..5238]:0; [5239..5240]:1; [5241..5253]:0; [5254..5256]:1; [5257..5265]:0; [5266..5270]:1; [5271..5285]:0; [5286..5288]:1; [5289..5291]:0; [5292..5293]:1; [5294..5295]:0; 5296:1; [5297..5298]:0; [5299..5300]:1; [5301..5303]:0; 5304:1; [5305..5307]:0; [5308..5309]:1; [5310..5314]:0; [5315..5316]:1; [5317..5318]:0; 5319:1; [5320..5321]:0; 5322:1; [5323..5324]:0; 5325:1; [5326..5327]:0; 5328:1; [5329..5330]:0; [5331..5332]:1; [5333..5335]:0; [5336..5337]:1; [5338..5359]:0; [5360..5361]:1; [5362..5366]:0; [5367..5369]:1; [5370..5381]:0; [5382..5384]:1; [5385..5389]:0; 5390:1; [5391..5392]:0; [5393..5394]:1; [5395..5396]:0; [5397..5398]:1; [5399..5413]:0; [5414..5416]:1; [5417..5419]:0; [5420..5421]:1; [5422..5423]:0; 5424:1; [5425..5426]:0; [5427..5428]:1; [5429..5431]:0; 5432:1; [5433..5435]:0; [5436..5437]:1; [5438..5442]:0; [5443..5444]:1; [5445..5446]:0; 5447:1; [5448..5449]:0; 5450:1; [5451..5452]:0; 5453:1; [5454..5455]:0; 5456:1; [5457..5458]:0; [5459..5460]:1; [5461..5463]:0; [5464..5465]:1; [5466..5485]:0; [5486..5488]:1; [5489..5494]:0; [5495..5497]:1; [5498..5509]:0; [5510..5511]:1; [5512..5516]:0; [5517..5521]:1; [5522..5541]:0; [5542..5543]:1; [5544..5547]:0; [5548..5549]:1; [5550..5551]:0; 5552:1; [5553..5554]:0; [5555..5556]:1; [5557..5559]:0; 5560:1; [5561..5563]:0; [5564..5565]:1; [5566..5570]:0; [5571..5572]:1; [5573..5574]:0; 5575:1; [5576..5577]:0; 5578:1; [5579..5580]:0; 5581:1; [5582..5583]:0; 5584:1; [5585..5586]:0; [5587..5588]:1; [5589..5591]:0; [5592..5593]:1; [5594..5612]:0; [5613..5615]:1; [5616..5623]:0; [5624..5625]:1; [5626..5636]:0; [5637..5639]:1; [5640..5645]:0; [5646..5649]:1; [5650..5669]:0; [5670..5671]:1; [5672..5675]:0; [5676..5677]:1; [5678..5679]:0; 5680:1; [5681..5682]:0; [5683..5684]:1; [5685..5687]:0; 5688:1; [5689..5691]:0; [5692..5693]:1; [5694..5698]:0; [5699..5700]:1; [5701..5702]:0; 5703:1; [5704..5705]:0; 5706:1; [5707..5708]:0; 5709:1; [5710..5711]:0; 5712:1; [5713..5714]:0; [5715..5716]:1; [5717..5719]:0; [5720..5721]:1; [5722..5739]:0; [5740..5742]:1; [5743..5751]:0; [5752..5754]:1; [5755..5764]:0; [5765..5767]:1; [5768..5775]:0; [5776..5780]:1; [5781..5797]:0; [5798..5799]:1; [5800..5803]:0; [5804..5805]:1; [5806..5807]:0; 5808:1; [5809..5810]:0; [5811..5812]:1; [5813..5815]:0; 5816:1; [5817..5819]:0; [5820..5821]:1; [5822..5827]:0; [5828..5834]:1; [5835..5836]:0; [5837..5843]:1; [5844..5847]:0; [5848..5850]:1; [5851..5866]:0; [5867..5868]:1; [5869..5880]:0; [5881..5882]:1; [5883..5892]:0; [5893..5894]:1; [5895..5905]:0; [5906..5908]:1; [5909..5925]:0; [5926..5927]:1; [5928..5931]:0; [5932..5933]:1; [5934..5935]:0; 5936:1; [5937..5939]:0; [5940..5941]:1; [5942..5943]:0; 5944:1; [5945..5946]:0; [5947..5948]:1; [5949..5955]:0; [5956..5962]:1; [5963..5965]:0; [5966..5971]:1; [5972..5975]:0; [5976..5978]:1; [5979..5994]:0; [5995..6003]:1; [6004..6008]:0; [6009..6010]:1; [6011..6020]:0; [6021..6022]:1; [6023..6052]:0; [6053..6055]:1; [6056..6059]:0; [6060..6061]:1; [6062..6063]:0; 6064:1; [6065..6067]:0; [6068..6076]:1; [6077..6085]:0; [6086..6088]:1; [6089..6090]:0; [6091..6092]:1; [6093..6094]:0; [6095..6097]:1; [6098..6103]:0; [6104..6106]:1; [6107..6123]:0; [6124..6130]:1; [6131..6136]:0; [6137..6139]:1; [6140..6147]:0; [6148..6150]:1; [6151..6180]:0; [6181..6183]:1; [6184..6187]:0; [6188..6189]:1; [6190..6191]:0; 6192:1; [6193..6196]:0; [6197..6203]:1; [6204..6214]:0; 6215:1; [6216..6218]:0; [6219..6220]:1; [6221..6223]:0; 6224:1; [6225..6231]:0; [6232..6234]:1; [6235..6264]:0; [6265..6267]:1; [6268..6275]:0; [6276..6278]:1; [6279..6308]:0; [6309..6311]:1; [6312..6315]:0; [6316..6317]:1; [6318..6319]:0; 6320:1; [6321..6326]:0; [6327..6328]:1; [6329..6341]:0; [6342..6343]:1; [6344..6346]:0; [6347..6348]:1; [6349..6351]:0; 6352:1; [6353..6359]:0; [6360..6362]:1; [6363..6393]:0; [6394..6395]:1; [6396..6403]:0; [6404..6405]:1; [6406..6410]:0; 6411:1; [6412..6436]:0; [6437..6439]:1; [6440..6443]:0; [6444..6445]:1; [6446..6447]:0; 6448:1; [6449..6454]:0; [6455..6457]:1; [6458..6468]:0; [6469..6473]:1; 6474:0; [6475..6476]:1; 6477:0; [6478..6482]:1; [6483..6488]:0; [6489..6490]:1; [6491..6515]:0; 6516:1; [6517..6521]:0; [6522..6523]:1; [6524..6531]:0; [6532..6533]:1; [6534..6538]:0; 6539:1; [6540..6541]:0; [6542..6546]:1; [6547..6564]:0; [6565..6567]:1; [6568..6571]:0; [6572..6573]:1; [6574..6575]:0; 6576:1; [6577..6578]:0; [6579..6589]:1; [6590..6595]:0; [6596..6611]:1; [6612..6616]:0; [6617..6618]:1; [6619..6638]:0; [6639..6644]:1; [6645..6649]:0; [6650..6652]:1; [6653..6659]:0; [6660..6661]:1; [6662..6665]:0; [6666..6667]:1; [6668..6669]:0; [6670..6671]:1; 6672:0; [6673..6674]:1; [6675..6692]:0; [6693..6694]:1; [6695..6699]:0; [6700..6701]:1; [6702..6703]:0; 6704:1; [6705..6706]:0; 6707:1; [6708..6710]:0; [6711..6713]:1; [6714..6716]:0; 6717:1; [6718..6722]:0; [6723..6724]:1; [6725..6726]:0; 6727:1; [6728..6729]:0; [6730..6733]:1; [6734..6735]:0; 6736:1; [6737..6738]:0; [6739..6740]:1; [6741..6744]:0; [6745..6746]:1; [6747..6764]:0; [6765..6770]:1; 6771:0; [6772..6773]:1; [6774..6777]:0; [6778..6780]:1; [6781..6786]:0; [6787..6789]:1; [6790..6793]:0; [6794..6795]:1; [6796..6797]:0; 6798:1; [6799..6801]:0; 6802:1; [6803..6820]:0; [6821..6822]:1; [6823..6827]:0; [6828..6829]:1; [6830..6831]:0; 6832:1; [6833..6839]:0; 6840:1; [6841..6850]:0; 6851:1; [6852..6853]:0; [6854..6855]:1; [6856..6858]:0; [6859..6860]:1; [6861..6863]:0; [6864..6865]:1; [6866..6872]:0; [6873..6874]:1; [6875..6892]:0; [6893..6894]:1; [6895..6896]:0; 6897:1; [6898..6900]:0; 6901:1; [6902..6905]:0; [6906..6908]:1; [6909..6914]:0; [6915..6917]:1; [6918..6921]:0; [6922..6923]:1; [6924..6928]:0; [6929..6930]:1; [6931..6948]:0; [6949..6950]:1; [6951..6958]:0; [6959..6960]:1; [6961..6966]:0; [6967..6969]:1; [6970..6972]:0; 6973:1; [6974..6980]:0; [6981..6985]:1; 6986:0; [6987..6988]:1; 6989:0; [6990..6994]:1; [6995..7000]:0; [7001..7003]:1; [7004..7021]:0; 7022:1; [7023..7024]:0; [7025..7026]:1; [7027..7028]:0; 7029:1; [7030..7034]:0; [7035..7036]:1; [7037..7042]:0; [7043..7045]:1; [7046..7050]:0; [7051..7052]:1; [7053..7056]:0; 7057:1; [7058..7076]:0; [7077..7078]:1; [7079..7086]:0; [7087..7088]:1; [7089..7090]:0; [7091..7102]:1; [7103..7106]:0; [7107..7110]:1; 7111:0; [7112..7119]:1; 7120:0; [7121..7123]:1; [7124..7128]:0; [7129..7131]:1; [7132..7149]:0; 7150:1; [7151..7153]:0; 7154:1; [7155..7156]:0; 7157:1; [7158..7162]:0; [7163..7164]:1; [7165..7170]:0; [7171..7172]:1; [7173..7178]:0; [7179..7185]:1; [7186..7203]:0; [7204..7206]:1; [7207..7213]:0; [7214..7215]:1; [7216..7218]:0; [7219..7220]:1; [7221..7227]:0; [7228..7229]:1; [7230..7234]:0; [7235..7236]:1; [7237..7241]:0; [7242..7245]:1; [7246..7250]:0; [7251..7252]:1; [7253..7256]:0; [7257..7259]:1; [7260..7277]:0; 7278:1; [7279..7290]:0; [7291..7292]:1; [7293..7298]:0; [7299..7300]:1; [7301..7307]:0; [7308..7312]:1; [7313..7331]:0; [7332..7334]:1; [7335..7340]:0; [7341..7342]:1; [7343..7370]:0; [7371..7372]:1; [7373..7384]:0; [7385..7387]:1; [7388..7418]:0; [7419..7420]:1; [7421..7426]:0; [7427..7428]:1; [7429..7459]:0; [7460..7462]:1; [7463..7467]:0; [7468..7470]:1; [7471..7475]:0; [7476..7483]:1; [7484..7492]:0; [7493..7497]:1; 7498:0; [7499..7500]:1; 7501:0; [7502..7506]:1; 7507:0; 7508:1; [7509..7512]:0; [7513..7515]:1; [7516..7546]:0; [7547..7548]:1; [7549..7554]:0; [7555..7556]:1; [7557..7587]:

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論