多路波形發(fā)生器的設計_第1頁
多路波形發(fā)生器的設計_第2頁
多路波形發(fā)生器的設計_第3頁
多路波形發(fā)生器的設計_第4頁
多路波形發(fā)生器的設計_第5頁
已閱讀5頁,還剩8頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、.北京交通大學電工電子教學基地實驗報告實驗課程: EDA技術 實驗名稱: 多路波形發(fā)生器的設計 實驗臺:1號 班級:四班 學號:08291123 姓名:游振南實驗日期:2010年10月24日 成績: 一實驗內容及其目的:1 熟悉多路發(fā)生器的原理還有輸出相位差和占空比的原理。2 熟練用QUARTERII進行電路的編程和仿真。3 熟練使用IF語句。二實驗設計思路.1。多路發(fā)生器的原理用分頻器而且是可調的因此先設div:integer range 1 to 4;。通過信號賦值(tmp,tmp1,tmp2)賦給輸出信號A,B,C. 通過n改變輸出頻率。定義各個變量。2.。當resetb=0時,coun

2、tQ=0;當resetb=1時。給脈沖時先定義分頻比如果countQ (6*div-1)時countQ = countQ +1;否者countQ為0。4 當cltr=01時即H:L=1:1時 如果countQ 3*div時tmp=0;否者tmp=1 如果countQ (6*div-2)時tmp1= 1;否者 tmp1=0; 如果countQ (4*div-1)時tmp2= 0; 否者 tmp2=1; A等于tmp;B=tmp2;C=tmp3;同理:當cltr=10時即H:L=1:2時 當cltr=11時即H:L=2:1時。其中;公式推導如下:當div=1,cltr=01時 當div=2,clt

3、r=01時 countQ6 countQ12A:0 0 0 1 1 1; A:0 0 0 0 0 0 1 1 1 1 1 1B:1 1 0 0 0 1; B:1 1 1 1 0 0 0 0 0 0 1 1C:0 1 1 1 0 0; C:0 0 1 1 1 1 1 1 0 0 0 0A:countQ3時tmp=0 A;countQ6時tmp=0Else tmp=1 Else tmp=1B:countQ4時tmp=1 B:countQ10 ,tmp=1 Else tmp=0 Else tmp=0C:countQ3時tmp=0 C: countQ7時tmp=0Else tmp=1 Else tmp

4、=1同理:cltr=10,cltr=11.當cltr=01時if(countQ 3*div) then tmp= 0;else tmp=1;if(countQ (6*div-2) then tmp1= 1;else tmp1=0;if(countQ (4*div-1) then tmp2= 0;else tmp2=1;當cltr=10時if(countQ 4*div) then tmp= 0;else tmp=1;if(countQ 2*div ) then tmp1= 1;else tmp1=0;if(countQ (4*div-1) then tmp2= 0; else tmp2=1;當c

5、ltr=11時if(countQ 2*div) then tmp= 0;else tmp=1;if(countQ (4*div-1) then tmp1= 1;else tmp1=0;if(countQ 4*div ) then tmp2= 1;else tmp2=0;將信號tmp 賦給A ; tmp1 賦給B ; tmp2 賦給 C ;三流程圖:四程序及仿真波形:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity boxing

6、isport(clk,resetb: in std_logic;cltr:in std_logic_vector(1 downto 0);div:integer range 1 to 4;A,B,C:out std_logic);end boxing;architecture a of boxing issignal countQ: integer range 0 to 255;signal tmp:std_logic;signal tmp1:std_logic;signal tmp2:std_logic;begin process(clk,resetb) begin if clkevent

7、and clk=1 then if (resetb=0) then countQ=0; else if( countQ (6*div-1) then countQ = countQ +1; else countQ =0; end if; end if; end if; end process;process(cltr,countQ)beginif(cltr=01) then if(countQ 3*div) then tmp= 0;else tmp=1;end if;if(countQ (6*div-2) then tmp1= 1;else tmp1=0;end if;if(countQ (4

8、*div-1) then tmp2= 0;else tmp2=1;end if;end if;if(cltr=10) thenif(countQ 4*div) then tmp= 0;else tmp=1;end if;if(countQ 2*div ) then tmp1= 1;else tmp1=0;end if;if(countQ (4*div-1) then tmp2= 0;else tmp2=1;end if;end if;if(cltr=11) thenif(countQ 2*div) then tmp= 0;else tmp=1;end if;if(countQ (4*div-1

9、) then tmp1= 1;else tmp1=0;end if;if(countQ 4*div ) then tmp2= 1;else tmp2=0;end if;end if;end process;A=tmp;B=tmp1;C=tmp2;end a;仿真圖:div=1,cltr=01時div=1,cltr=10div=1,cltr=11div=2,cltr=01div=2,cltr=10div=2,cltr=11div=3,cltr=01div=3,cltr=10div=3,cltr=11div=4,cltr=01div=4,cltr=10div=4,cltr=11五實驗中遇到的問題及

10、解決步驟1. 定義div為變量?div:integer range 1 to 42占空比原理?通過網絡查找相關定義和通過網絡和圖書館查找6分頻分頻器匯編中設定占空比推出相關頻率的占空比。3通過控制div(14)改變輸出相應得分頻?開始時只能編寫6分頻的分頻器無法通過改變div改變輸出頻率。通過助教老師的講解通過設置div為變量把六分頻分頻器中的公式變換即可。4A,B,C,輸出三路相位差120的意思?通過網上查找A,B,C 輸出三路占空比一樣延遲2/3T(T:分頻后的周期)。5Process()中應該填寫什么?開始時不知道填寫什么。后來通過看書中的程序知道里面填寫的是要輸入的信號。.6A,B,C通過什么控制三路相差120度?首先想到通過控制時間

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論