




版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)
文檔簡介
1、 通信原理課程設(shè)計報告題 目 最佳接收機的仿真與實現(xiàn) 學(xué) 院 電子信息工程學(xué)院 專 業(yè) 電子信息工程(本) 學(xué)生姓名 劉成鵬 學(xué) 號 200910312308 年級 2009級 指導(dǎo)教師 石琳 職稱 副教授 設(shè)計報告(40分)程序(實物) 設(shè)計(40分)工作態(tài)度(20分)總成績(100分)評閱人簽字評閱日期課程設(shè)計任務(wù)書課程設(shè)計題目最佳接收機的仿真與實現(xiàn)課程設(shè)計目的通信原理課程設(shè)計是系統(tǒng)性的設(shè)計和實驗。通過課程設(shè)計將學(xué)生從理論學(xué)習(xí)的軌道逐步引向?qū)嶋H應(yīng)用,把理論上熟悉的定性分析、定量計算逐步和工程估算、實驗調(diào)整等手段結(jié)合起來,掌握工程設(shè)計的步驟和方法,了解科學(xué)實驗的程序和實施方法,為以后畢業(yè)設(shè)計
2、和從事通信系統(tǒng)的實際工作打下基礎(chǔ)。課程設(shè)計所需環(huán)境計算機,matlab,Max+plus II課程設(shè)計任務(wù)要求最佳接收機是通信系統(tǒng)中最復(fù)雜的模塊之一,只有概念明確、思路清晰,才能完成相應(yīng)的工程實現(xiàn)。熟悉最佳接收機理論,掌握最佳接收機的實現(xiàn),并能夠使用該理論來分析和解決工程中的實際問題是通信工程師必須掌握的基本功。本課程設(shè)計就要求學(xué)生完成最佳接收機的仿真與實現(xiàn)。學(xué)生需在分析匹配濾波器的原理的基礎(chǔ)上,給出相應(yīng)的軟件實現(xiàn)和仿真結(jié)果,并對結(jié)果進行分析,得出相應(yīng)結(jié)論,同時應(yīng)獨立撰寫完整的課程設(shè)計報告。課程設(shè)計工作進度計劃時間工 作 內(nèi) 容備注星期一接受任務(wù)書,老師講解設(shè)計任務(wù)和要求星期二查閱資料,分析任
3、務(wù),擬定方案星期三完成matlab仿真和程序調(diào)試,撰寫報告同學(xué)間可自由討論交流星期四完成VHDL仿真和程序調(diào)試,撰寫報告答疑星期五完善報告和調(diào)試,準(zhǔn)備老師的提問學(xué)生簽名: 指導(dǎo)教師簽字: 年 月 日系部審核意見:系主任簽字: 年 月 日最佳接收機仿真與實現(xiàn)摘要:最佳接收機是通信系統(tǒng)中的一個關(guān)鍵模塊。在通信中,信道中的噪聲,干擾及其時變特性,是直接作用于接收端的,所以接收機性能的優(yōu)劣決定了整個系統(tǒng)的通信質(zhì)量。最佳接收機的作用就是如何最佳的從噪聲中提取有用信號。在全數(shù)字接收機中,匹配濾波器是消除信道噪聲提高信噪比的一種重要方法。本文主要介紹了數(shù)字匹配濾波器的最佳接收機的實現(xiàn)方案。本文主要是利用接收
4、機的原理以及運用matlab和max+plus完成匹配濾波器的設(shè)計,最終完成最佳接收即的仿真與實現(xiàn)。關(guān)鍵詞:最佳接收機;匹配濾波器;matlab;vhdldesigning the Best receiverAbstract: The best receiver is the critical model in the communication system. In the communication system, the noise、the interrupt has a variable quality which exit in the channel. They influence
5、 on the receive port, so the quality of the receiver determine the communication quality of the whole system. The function of the receiver is extracting the useful signals from the noise. In the digital receiver, matched filter is a vital method which can eliminate the noise and promote the noise-si
6、gnal ratio. The article introduces the implementing scheme of the digital matched filters best receiver.The article mainly talks about use the principle of the receiver and the application of the matlab and max+plus to complete the plan of the matcher filter. Finally, complete the simulation and car
7、ry out of the best receiver. Keywords:Best receiver,;Matched filter;matlab;vhdl目錄第1章 前 言4第2章 匹配濾波器52.1 匹配濾波器的傳輸特性H(f)52.2 匹配濾波器的沖激響應(yīng)h(t)72.3 匹配濾波器的輸出波形so(t)82.4 匹配濾波器小結(jié)9第3章 最佳接收機的MATLAB實現(xiàn)113.1 設(shè)計思路113.2 實現(xiàn)過程123.2.1 輸入信號產(chǎn)生模塊的實現(xiàn)123.2.2 信號處理模塊的實現(xiàn)133.2.3 判決模塊的實現(xiàn)143.3 仿真結(jié)果153.4 仿真結(jié)果分析15第4章 最佳接收機的VHDL實現(xiàn)16
8、4.1 設(shè)計思路164.2 實現(xiàn)過程174.2.1 信號發(fā)生電路的實現(xiàn)174.2.2 定時電路的實現(xiàn)174.2.3 匹配濾波電路的實現(xiàn)184.2.4 判決電路的實現(xiàn)194.3 仿真結(jié)果194.4 仿真結(jié)果分析20第五章 結(jié)束語21致謝22參考文獻23附錄 最佳接收機VHDL代碼24第1章 前 言數(shù)字通信系統(tǒng)的任務(wù)是傳輸數(shù)字信息。發(fā)端將數(shù)字信息變換成合適信道傳輸?shù)男盘?,接收端根?jù)收到的信號判決出原數(shù)字信息。由于噪聲、干擾的存在,接收機在判決時會發(fā)生錯誤,產(chǎn)生誤碼。不同的接收方法有不同的誤碼性能(如2ASK相干解調(diào)的誤碼率就不同于包絡(luò)解調(diào)的誤碼率)。能使誤碼率最小的接收方式稱為最佳接收,相應(yīng)的接收
9、機稱為最佳接收機。“最佳”是個相對概念,不同條件、不同要求下的最佳接收機是不同的。如白噪聲信道的最佳接收機在瑞利衰落信道中就不是最佳的。本課程設(shè)計討論高斯白噪聲信道中二元數(shù)字信號的最佳接收機結(jié)構(gòu)及其性能。一個數(shù)字通信系統(tǒng)的接收設(shè)備可以視作一個判決裝置,它由一個線性濾波器和一個判決電路構(gòu)成,如圖1-2。線性濾波器對接收信號進行某種處理,輸出某個物理量提供給判決電路,以便判決電路對接收信號中所包含的某個發(fā)送信號作出盡可能正確的判決,或者說作出錯誤判決的可能性盡量小,那么為了使判決電路能達到這種要求,線性濾波器應(yīng)當(dāng)對接收信號作什么樣的處理呢?理論和實踐都已證明:在白噪聲干擾下,如果線性濾波器的輸出端
10、在某一時刻上使信號的瞬時功率與白噪聲平均功率之比達到最大,就可以使判決電路出現(xiàn)錯誤判決的概率最小。這樣的線性濾波器稱為匹配濾波器。所以,匹配濾波器是最大輸出信噪比意義下的最佳線性濾波器。用匹配濾波器構(gòu)成的接收機是滿足最大輸出信噪比準(zhǔn)則的最佳接收機,也稱為匹配濾波器接收機。在白噪聲條件下,這樣的接收機能得到最小的誤碼率。本課程設(shè)計報告主要完成的工作介紹最佳接收機的原理以及構(gòu)成,以及對各個模塊的具體解釋,通過用matlab軟件仿真和FPGA的仿真和實現(xiàn),最佳接收機可以是匹配濾波器構(gòu)成,也可以是相關(guān)器構(gòu)成,本課程設(shè)計主要用匹配濾波器構(gòu)成的最佳接收機。用matlab和FPGA分別對最佳接收機的仿真與實
11、現(xiàn)。本課程設(shè)計的章節(jié)安排如下,第一章前言接收背景和意義,以及主要工作和章節(jié)安排;第二章主要介紹匹配濾波器的原理與數(shù)學(xué)方程;第三章主要介紹用匹配濾波器構(gòu)成最佳接收機以及matlab的仿真;第四章介紹用匹配濾波器構(gòu)成的最佳接收機的FPGA仿真和實現(xiàn);第五章是結(jié)束語,主要寫本次課程設(shè)計報告的總結(jié)以及心得體會;最后是致謝,參考文獻,以及附錄。第2章 匹配濾波器匹配濾波器是指在白噪聲為背景的條件下,輸出信噪比最大的最佳線性濾波器。2.1 匹配濾波器的傳輸特性H(f)匹配濾波器如圖所示,現(xiàn)在來推導(dǎo)匹配濾波器的傳輸特性。2.1 匹配濾波器示意圖設(shè)匹配濾波器的輸入信號為,是由接收信號和噪聲兩部分構(gòu)成,即其中,
12、是白噪聲,其雙邊功率譜密度為,而信號的頻譜函數(shù)為。根據(jù)線性疊加原理,匹配濾波器的輸出也由信號和噪聲兩部分構(gòu)成,即設(shè)的頻譜為,根據(jù)信號與系統(tǒng)理論得求的傅氏反變換,可得到輸出信號為 (2-1-1)輸出噪聲的功率譜密度為由于匹配濾波器是在某個瞬間輸出信噪比最大的濾波器,所以首先要找到時刻濾波器輸出信噪比的表示式。根據(jù)式(2-1-1),時刻的輸出信號值為則在t0時刻輸出信號的瞬時功率為 (2-1-2)而輸出噪聲的平均功率為 (2-1-3) 那么,根據(jù)式(2-1-2)、(2-1-3)得到在時刻t0上匹配濾波器輸出信號瞬時功率與噪聲平均功率的比值為 (2-1-4) 由式(2-1-4)可看出,輸出信噪比ro
13、與濾波器的傳輸特性H(f )密切相關(guān)。因此,肯定存在一個最佳的H(f ),使ro取得最大值。這個使ro取得最大值的傳輸特性H(f ),就是匹配濾波器的傳輸特性。為求出式(9-2-4)的最大值,需要使用許瓦茲不等式,即 (2-1-5)只有當(dāng)A(f )和B*(f )成正比時,即A(f )=kB*(f )時,式(2-1-5)中等號成立, 達到最大, 為將許瓦茲不等式運用到式(2-1-4),令可得式中,E為信號的能量,且。根據(jù)許瓦茲不等式等號成立的條件可知,瞬時信噪比ro達最大值 2-1-6的條件是 2-1-7式(2-1-7)就是所要求的匹配濾波器的傳輸特性。由式(2-1-7)可知,輸出信噪比最大的濾
14、波器的傳輸特性與信號頻譜的復(fù)共軛成正比,故稱這種濾波器為匹配濾波器。由式(2-1-7)可以定性解釋匹配濾波器為什么能提高輸出信噪比。首先從幅度特性上來看,匹配濾波器的幅度特性與信號的振幅特性相同,因此信號中頻譜幅度大的頻率成分,匹配濾波器對其衰減小,而信號中頻譜幅度小的頻率成分,匹配濾波器對其衰減大,這樣信號經(jīng)匹配濾波器后損失較小。但對白噪聲來說,不但帶外噪聲全部被匹配濾波器抑制,更主要的是帶內(nèi)噪聲在信號頻譜幅度較小的頻段內(nèi)也受到匹配濾波器較大的抑制。再從匹配濾波器的相位特性上來看,由于匹配濾波器的相位特性與信號相位特性相反,使原來信號中相位不同的各頻率成分經(jīng)匹配濾波器后都變?yōu)橥嘞嗉?。因此?/p>
15、經(jīng)匹配濾波器后,使輸出信號達到最大,而噪聲卻盡可能多地被抑制掉,使輸出信噪比達最大。2.2 匹配濾波器的沖激響應(yīng)h(t)根據(jù)傳輸特性與沖激響應(yīng)是一對傅氏變換,由式(2-1-7)可得匹配濾波器的沖激響應(yīng)為當(dāng)輸入信號s(t)為實信號時,有S*(f )=S(-f )。因此 (2-2-1)由式(2-2-1)可知,匹配濾波器的沖激響應(yīng)是輸入信號s(t)對縱軸的鏡像s(-t)在時間上延遲了t0。圖9.2.2中,(a)和(b)分別為s(t)和它的鏡像s(-t),(c)、(d)、(e)是t0取不同值時的。圖2.2 匹配濾波器的沖激響應(yīng)由于是取樣時刻,所以從提高傳輸速率考慮,應(yīng)盡可能小。但是h(t)是匹配濾波器
16、的沖激響應(yīng),從物理可實現(xiàn)性考慮,當(dāng)t<0時,應(yīng)有=0。因此<時的匹配濾波器是物理不可實現(xiàn)的,必須要求,如圖9.2.2(d)、(e)所示。綜合上述兩個方面考慮,應(yīng)取=。是信號s(t)的結(jié)束時間,也就是說在輸入信號剛剛結(jié)束時立即取樣,這樣對接收信號能及時地作出判決,同時它對應(yīng)的是物理可實現(xiàn)的。若取樣時間先于信號結(jié)束時間,即<,顯然是不正確的,因為輸入信號還未結(jié)束,怎么可能獲取輸入信號的全部能量而使輸出信噪比最大呢?2.3 匹配濾波器的輸出波形so(t)匹配濾波器的輸入信號為s(t),沖激響應(yīng)為h(t)=s(-t),匹配濾波器的輸出等于輸入信號與沖激響應(yīng)的卷積,即式中,是s(t)的
17、自相關(guān)函數(shù),根據(jù)自相關(guān)函數(shù)是偶函數(shù)的特性,即有 (2-3-1)式(9-2-9)說明匹配濾波器的輸出信號在形式上與輸入信號的時間自相關(guān)函數(shù)相同,僅差一個常數(shù)因子k,以及在時間上延遲。從這個意義上來說,匹配濾波器可以看成一個計算輸入信號自相關(guān)函數(shù)的相關(guān)器。我們知道,自相關(guān)函數(shù)Rs(t-t0)的最大值是Rs(0)。從式(9-2-9)可得,匹配濾波器的輸出信號so(t)在t=t0時達到最大值,為這個結(jié)果再次說明,在t0時刻之前,匹配濾波器對輸入信號進行處理,從而在t0時刻形成輸出信號的峰值。2.4 匹配濾波器小結(jié)我們已詳細討論了匹配濾波器的傳輸特性、沖激響應(yīng)、輸出波形及最大輸出信噪比?,F(xiàn)將上述幾個方面
18、的內(nèi)容小結(jié)如下:(1) 匹配濾波器的傳輸特性因此,信號不同,對應(yīng)的匹配濾波器也不同。也就是說,對某個信號匹配的濾波器,對其它信號就不是匹配濾波器了。(2) 匹配濾波器傳輸特性與信號頻譜有關(guān),而信號頻譜的幅頻特性通常不為常數(shù),也就是說匹配濾波器的幅度特性通常是不理想的,所以信號通過匹配濾波器會產(chǎn)生嚴(yán)重的波形失真。(3) 匹配濾波器只能用于接收數(shù)字信號。對數(shù)字信號的傳輸而言,我們關(guān)心的是取樣判決是否正確,不大關(guān)心波形是否失真,而匹配濾波器輸出能獲取最大信噪比,它有利于取樣判決,減小誤碼率,所以匹配濾波器適合于接收數(shù)字信號。因為匹配濾波器會使傳輸波形產(chǎn)生嚴(yán)重的失真,所以它不能用于模擬信號的接收。(4
19、) 匹配濾波器輸出端的最大瞬時信噪比,說明最大輸出信噪比僅與信號的能量及白噪聲的功率譜密度有關(guān),與信號的波形無關(guān)。但相同能量不同波形的信號,其匹配濾波器的傳輸特性是不同的。例如,有能量等于E的兩個信號、,頻譜分別為和,則與兩個信號匹配的匹配濾波器的傳輸特性分別為假設(shè)噪聲相同,則這兩個濾波器輸出最大信噪比相同,為 。第3章 最佳接收機的MATLAB實現(xiàn)MATLAB 是一種用于算法開發(fā)、數(shù)據(jù)可視化、數(shù)據(jù)分析以及數(shù)值計算的高級技術(shù)計算語言和交互式環(huán)境,在數(shù)學(xué)信號處理中使用 MATLAB可以更快地解決技術(shù)計算問題,因此得到了廣泛的應(yīng)用。本章節(jié)的內(nèi)容是介紹用MATLAB中Simulink仿真實現(xiàn)由匹配濾
20、波器構(gòu)成的2FSK信號的最佳接收機的過程。3.1 設(shè)計思路接收信號為帶高斯白噪聲的2FSK信號,其基帶信號為二進制碼元,每個碼元的寬度為1,將輸入的帶噪聲2FSK信號與正弦載波信號f1,f2分別相乘卷積,再將兩支路的結(jié)果相減,根據(jù)判決門限輸出判決結(jié)果,由以上分析可知整個最佳接收機系統(tǒng)分為三個模塊:(1)輸入信號產(chǎn)生模塊:產(chǎn)生帶加性高斯白噪聲的2FSK信號;(2)信號處理模塊:將輸入的帶噪聲2FSK信號分別與正弦載波信號f1,f2相乘卷積,再將兩支路的結(jié)果相減作為輸出結(jié)果;(3)判決模塊:對處理模塊的結(jié)果抽樣,根據(jù)判決門限由抽樣值確定判決結(jié)果; 因此,最佳接收機的設(shè)計流程圖如圖3-1所示:帶加性
21、高斯白噪聲的2FSK輸入信號輸入信號與正弦載波f1相乘卷積抽樣判決輸入信號與正弦載波f2相乘卷積圖3-1 最佳接收機的設(shè)計流程圖3.2 實現(xiàn)過程 3.2.1 輸入信號產(chǎn)生模塊的實現(xiàn) 2FSK信號是根據(jù)基帶信號二進制序列的值選擇不同頻率的載波,如果基帶信號為1則2FSK信號的波形為載波f1,如果基帶信號為0則2FSK信號的波形為載波f2,可以由模塊swtich實現(xiàn)選擇功能,本課程設(shè)計要求信道的噪聲為加性高斯白噪聲,高斯白噪聲由模塊Gaussian Noise Genrator實現(xiàn),設(shè)計模型如圖3-2所示:圖3-2 輸入信號產(chǎn)生模塊的設(shè)計模型圖觀察示波器Scope4所得到的仿真波形如圖3-3所示,
22、從圖3-3中可以看出輸入的基帶信號為隨機二進制序列。圖3-3基帶信號的仿真波形圖觀察示波器Scope1所得到的仿真波形如圖3-4所示,從圖3-4中可以看出當(dāng)基帶信號為1時,2FSK信號波形為頻率為2HZ的正弦載波f1,當(dāng)基帶信號為0時,2FSK信號波形為為頻率為1HZ的正弦載波f2,說明此設(shè)計方案是可行的。圖3-4 2FSK信號的仿真波形圖觀察圖3-5帶噪聲的2FSK輸入信號,可以看出由于高斯白噪聲的加入,波形產(chǎn)生了嚴(yán)重的失真,最佳接收機系統(tǒng)的功能就是要減少噪聲的干擾,降低誤碼率。圖3-5 帶噪聲的2FSK信號仿真波形圖3.2.2 信號處理模塊的實現(xiàn)此模塊的功能是將輸入的帶噪聲2FSK信號分別
23、與正弦載波f1,f2相乘卷積,因為卷積的結(jié)果是時域里的累積,將積分結(jié)果延時一個周期,相減可以得到在當(dāng)前周期內(nèi)的增量,作為當(dāng)前周期的積分結(jié)果,再將兩條支路的結(jié)果相減,其設(shè)計模型如圖3-6所示:圖3-6 信號處理模塊的設(shè)計模型圖觀察示波器Scope3可以得到上下支路積分值相減的結(jié)果,如圖3-7所示:圖3-7上下支路積分值相減結(jié)果仿真波形圖3.2.3 判決模塊的實現(xiàn)輸入的2FSK信號經(jīng)過處理后,需要經(jīng)過抽樣判決,然后再生成碼元作為輸出信號,抽樣判決的周期1,由模塊Pulse Generator與上下支路積分值相減的結(jié)果相乘,得到每個抽樣時刻的值,此判決門限為0,如果抽樣值大于0判為1,小于0則判為0
24、,由模塊MATLAB Fcn從MATLAB中調(diào)用已編輯好的函數(shù)來實現(xiàn)這個功能,判決結(jié)果為一系列離散的值,需要把這一系列的值轉(zhuǎn)換成二進制碼元信號,由模塊Zeros Order hold 實現(xiàn)碼元再生的功能,其設(shè)計模型如圖3-8所示:圖3-8 判決模塊的設(shè)計模型圖示波器scope1得到的波形為抽樣判決結(jié)果, 如圖3-9所示:圖3-9 抽樣判決結(jié)果仿真波形圖3.3 仿真結(jié)果觀察示波器scope的仿真波形,由圖3-10所示,為最佳接收機的判決結(jié)果。圖3-10 最佳接收機判決結(jié)果仿真波形圖3.4 仿真結(jié)果分析通過對比圖3-3基帶二進制信號與圖3-10最佳接收機判決結(jié)果(由于判決時刻為輸入信號在一個周期的
25、結(jié)束時刻,所以判決結(jié)果延遲一個周期),可以發(fā)現(xiàn)在有高斯白噪聲的影響下,最佳接收機能夠準(zhǔn)確的判斷接收到的信號,說明此設(shè)計方案是可行的。第4章 最佳接收機的VHDL實現(xiàn)4.1 設(shè)計思路本課程設(shè)計要求實現(xiàn)二進制數(shù)字序列的匹配濾波器,由前面的理論分析可知,匹配濾波器的匹配信號與接收信號的值相同時,在抽樣判決時刻輸出信號的模最大,而接收信號與匹配信號不同時則被盡可能的抑制掉,所以實現(xiàn)匹配濾波器也可以轉(zhuǎn)換成兩個數(shù)字信號之間的相關(guān)運算,即比較等長度的兩個數(shù)字序列之間有多少位相同,多少位不同,然后設(shè)置判決門限,通過相同位數(shù)的個數(shù)來判斷信號的值。本課程設(shè)計以2PSK信號為輸入信號,用VHDL語言實現(xiàn)QPSK的基
26、于匹配濾波器的最佳接收機,QPSK信號有兩種值“1010”,“0101”分別對應(yīng)基帶信號1,0,對符號過采樣率為4。 由以上分析,可以知道整個最佳接收機系統(tǒng)分為四個模塊:(1)信號發(fā)生電路:此模塊的功能是產(chǎn)生二進制數(shù)字序列,并加入加性高斯白噪聲作為匹配濾波器的接收信號;(2)定時電路:每經(jīng)過4個系統(tǒng)時鐘信號上升沿定系統(tǒng)的判決電路輸出判決結(jié)果,然后從零重新開始計數(shù);(3)匹配濾波電路:完成接收信號與四個匹配信號之間的相關(guān)運算,并分別累計接收信號與四個匹配信號之間相同的位數(shù),作為結(jié)果輸出;(4)判決電路:根據(jù)匹配濾波器的輸出結(jié)果和判決門限判斷接收信號,將判決結(jié)果作為輸出端的輸出信號; 根據(jù)上述內(nèi)容
27、,可以得到系統(tǒng)的設(shè)計流程圖如圖4-1所示:信號發(fā)生電路匹配濾波電路定時電路判決電路輸出圖4-1 匹配濾波器設(shè)計流程圖4.2 實現(xiàn)過程4.2.1 信號發(fā)生電路的實現(xiàn)由于本課程設(shè)計所討論的是在高斯白噪聲條件下最佳接收機的誤碼性能,系統(tǒng)要求有高斯白噪聲的產(chǎn)生,可以采用偽隨機序列產(chǎn)生高斯白噪聲,其代碼見附錄,得到的仿真波形如圖4-2所示:圖4-2 白噪聲產(chǎn)生器VHDL實現(xiàn)仿真波形圖從圖4-2可以看出,在使能信號為高電平的情況下,輸出端會輸出一個隨機的數(shù)字序列,在系統(tǒng)中作為白噪聲信號。因為是加性高斯白噪聲,所以可直接將二進制信號序列與高斯白噪聲進行模2加,所得到的結(jié)果作為輸入信號發(fā)送給匹配濾波器,其代碼
28、見附錄二,仿真波形如圖4-3所示:圖4-3 輸入信號仿真波形圖從圖4-3輸入信號仿真波形圖中可以看出,當(dāng)輸入信號加入加性高斯白噪聲時會產(chǎn)生波形的失真,而最佳接收機需要盡可能的減小波形失真帶了的誤判。4.2.2 定時電路的實現(xiàn)此電路的功能是將系統(tǒng)時鐘進行處理,可以采用計數(shù)器的方式實現(xiàn),每當(dāng)系統(tǒng)時鐘上升沿時刻,計數(shù)器加1,當(dāng)計數(shù)器從0加到3時,則系統(tǒng)判決電路工作,并且計數(shù)器清零,重新開始計數(shù),其代碼如下:if clk'event and clk='1' then 系統(tǒng)時鐘為上升沿 if start='0' then cnt<="00"
29、;cnt1<=0;cnt2<=0;tmp<=0;-系統(tǒng)復(fù)位,定時器清零 else case cnt is when "00" =>-第一個狀態(tài) when "01" =>-第二個狀態(tài)when "10" =>-第三個狀態(tài)when "11" =>-第四個狀態(tài)when others=>null; end case; cnt<=cnt+1;-定時器加14.2.3 匹配濾波電路的實現(xiàn)當(dāng)接收端接收到信號發(fā)生電路的二進制數(shù)字序列后,匹配濾波器需要將輸入信號分別與四個匹配信號做相
30、關(guān)運算,并將四個不同的累加結(jié)果作為輸出信號給判決電路。一位相關(guān)器可以由同或門實現(xiàn),用1表示該數(shù)據(jù)位相同,用0表示該數(shù)據(jù)位不同,每個系統(tǒng)時鐘上升沿時刻匹配濾波電路就會接收到一個一位的二進制數(shù)字信號,根據(jù)定時電路的狀態(tài)和相對應(yīng)的數(shù)據(jù)位做相關(guān)運算,如果定時電路的狀態(tài)不為“00”則繼續(xù)比較下一個二進制數(shù),并將相關(guān)運算結(jié)果累加,如果定時電路的狀態(tài)為“00”則累加器清零,其代碼分析如下:when "00" => if x_in='1' then cnt1<=1;cnt2<=0; -第一位數(shù)據(jù)為1時的各相關(guān)運算結(jié)果 else cnt1<=0;cnt
31、2<=1; end if; -第一位數(shù)據(jù)為0時的各相關(guān)運算結(jié)果 when "01" => if(x_in='1')then cnt1<=cnt1;cnt2<=cnt2+1; -第二位數(shù)據(jù)為1時各累加器的結(jié)果 else cnt1<=cnt1+1;cnt2<=cnt2;end if; -第二位數(shù)據(jù)為0時各累加器的結(jié)果 when "10" =>if(x_in='1')then cnt1<=cnt1+1;cnt2<=cnt2; -第三位數(shù)據(jù)為1時各累加器的結(jié)果 else cnt
32、1<=cnt1;cnt2<=cnt2+1;end if;-第三位數(shù)據(jù)為0時各累加器的結(jié)果 when "11" => if(x_in='1')then cnt1<=cnt1;cnt2<=cnt2+1; -第四位數(shù)據(jù)為1時各累加器的結(jié)果 else cnt1<=cnt1+1;cnt2<=cnt2;end if;-第四位數(shù)據(jù)為0時各累加器的結(jié)果所得到的仿真波形如圖4-5所示:圖4-4 匹配濾波電路VHDL實現(xiàn)仿真波形圖從圖4-4可以看出,輸入信號x_in二進制數(shù)字序列為“1010 1010 0101 0101 ”,累加器cn
33、t1,cnt2分別對應(yīng)基帶信號1、0,輸入序列對應(yīng)的基帶信號序列為為“1 1 0 0”,對應(yīng)的,第一個4個系統(tǒng)周期后累加器cnt1的值為4,第二個4個系統(tǒng)周期后累加器cnt1的值為4,第三個4個系統(tǒng)周期后累加器cnt2的值為4,第四個4個系統(tǒng)周期后累加器cnt2的值為4,說明此匹配濾波電路設(shè)計方案是可行的。4.2.4 判決電路的實現(xiàn)當(dāng)定時電路的狀態(tài)為“11”時,判決電路根據(jù)接收到的2個累加結(jié)果與判決門限相比較,得到判決結(jié)果,將判決結(jié)果做為輸出信號,其代碼分析如下:if(cnt1>cnt2) then tmp<=1;-當(dāng)cnt1的值大于cnt2時,判決結(jié)果為1else tmp<
34、=0; -當(dāng)cnt2的值大于cnt1時,判決結(jié)果為04.3 仿真結(jié)果圖4-5 最佳接收機VHDL實現(xiàn)仿真波形圖在圖4-5中,信號端口indata為輸入的二進制序列,相當(dāng)于通信系統(tǒng)中發(fā)送端的原始信號,信號端口xt為加入加性高斯白噪聲的輸入信號,相當(dāng)于通信系統(tǒng)中接收端接收到的信號,信號端口outdata為最佳接收機的輸出信號(最佳接收機的輸出信號outdata滯后于輸入信號indata 4個系統(tǒng)周期,這是因為最佳接收機是在輸入信號的結(jié)束時刻進行取樣判決),可以從圖中看出由于噪聲的干擾,信號xt與原始信號有一定的差別,但是通過最佳接收機后與受噪聲干擾后的輸入信號xt對比,誤碼率已經(jīng)大大減少。4.4
35、仿真結(jié)果分析我們將輸入的原二進制數(shù)字序列與最佳接收機的輸出結(jié)果作對比,分析最佳接收機系統(tǒng)的誤碼性能。從圖4-5可知,原輸入二進制數(shù)字序列indata為“1010 0101 0101 1010 0101”,此數(shù)字序列對應(yīng)的基帶信號為“1 0 0 1 0”,與最佳接收機的輸出結(jié)果相同,說明最佳接收機在有噪聲干擾的情況下能有效的降低誤碼率,此設(shè)計方案得到的結(jié)論和理論分析相符合,說明這個設(shè)計是可行的。 第五章 結(jié)束語經(jīng)過一個星期的艱辛付出,終于完成了我的課程設(shè)計基于匹配濾波器構(gòu)成的最佳接收機的仿真與實現(xiàn)。在完成的過程中有痛苦也有快樂,有堅定也有抱怨,但最終還是努力克服了重重困難。通過本次課程設(shè)計的學(xué)習(xí)
36、,我深深的體會到設(shè)計課的重要性和目的性所在。本次設(shè)計課不僅僅培養(yǎng)了我們實際操作能力,也培養(yǎng)了我們靈活運用課本知識,理論聯(lián)系實際,獨立自主的進行設(shè)計的能力。在設(shè)計過程中遇到了很多復(fù)雜的問題,心中不止一次想過放棄,但是通過老師的辛勤指導(dǎo),同學(xué)的耐心講解,我打消了心中這個念頭,迎難而上,堅信勝利就在前方。與此同時,在老師和同學(xué)身上我也學(xué)到很多實用的知識,在此,對給過我?guī)椭乃兄笇?dǎo)老師和同學(xué)表示衷心的感謝! 通過這次課程設(shè)計,我從中學(xué)到了很多,也真正領(lǐng)悟到了“態(tài)度決定一切”這句話的真正含義。在設(shè)計中要求我要有耐心和毅力,還要細心,稍有不慎,一個小小的錯誤就會導(dǎo)致結(jié)果的不正確,而對錯誤的檢查要求我要有
37、足夠的耐心,通過這次設(shè)計和設(shè)計中遇到的問題,也積累了一定的經(jīng)驗,對以后從事集成電路設(shè)計工作會有一定的幫助。致謝在這次課程設(shè)計計的撰寫過程中,我得到了許多人的幫助。首先我要感謝指導(dǎo)老師在課程設(shè)計上給予我的指導(dǎo)、提供給我的支持和幫助,這是我能順利完成這次報告的主要原因,更重要的是老師幫我解決了許多技術(shù)上的難題,讓我能把系統(tǒng)做得更加完善。在此期間,我不僅學(xué)到了許多新的知識,而且也開闊了視野,提高了自己的設(shè)計能力。其次,我要感謝幫助過我的同學(xué),他們也為我解決了不少我不太明白的難題。同時也感謝學(xué)校為我們提供良好的做課程設(shè)計設(shè)計的環(huán)境。最后再一次感謝所有在設(shè)計中曾經(jīng)幫助過我的良師益友和同學(xué)參考文獻1 樊昌
38、信,等通信原理北京:國防工業(yè)出版社,20012 潘松.黃繼業(yè)EDA技術(shù)使用教程(第二版)北京:科學(xué)出版社,20053 蔣璇.臧春華數(shù)字系統(tǒng)設(shè)計與PLD應(yīng)用技術(shù)北京:電子工業(yè)出版社,20014 南利平通信原理簡明教程北京:清華大學(xué)出版社,19995 黃葆華,等通信原理西安:西安電子科技大學(xué)出版社,20076 王振紅VHDL數(shù)字電路設(shè)計與應(yīng)用實踐教程北京:機械工業(yè)出版社,20067 黃正謹(jǐn)徐堅,等CPLD系統(tǒng)設(shè)計技術(shù)入門與應(yīng)用北京:電子工業(yè)出版社,20028 沈振元,等通信系統(tǒng)原理西安:西安電子科技大學(xué)出版社,20049 宋祖順,等現(xiàn)代通信原理北京:電子工業(yè)出版社,200110 薛山Matlab基
39、礎(chǔ)教程北京:清華大學(xué)出版社,2011附錄 最佳接收機VHDL代碼輸入信號電路代碼:library ieee;use ieee.std_logic_1164.all;entity ps7 is-實體名port(clk,load:in std_logic; st:in std_logic; Q:out std_logic);end ps7;architecture behav of ps7 issignal c0,c1,c2,c3,c4,c5,c6,c7:std_logic;beginprocess(clk,load)variable Q1:std_logic;beginif clk'ev
40、ent and clk='1' thenif(load='0') thenc7<='0'c6<='0'c5<='0'c4<='0'c3<='0'c2<='0'c1<='1'c0<='0'Q1:=c7;else c1<=c0;c2<=c1;c3<=c2;c4<=c3;c5<=c4;c6<=c5;c7<=c6;c0<=c7 xor c4 xor
41、c3 xor c2;-設(shè)置反饋方式Q1:=c7;end if;end if;Q<=Q1 XOR st;end process;end behav;匹配濾波器代碼:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity lvbo1 is port(x_in:in std_logic; clk,start:in std_logic; y_out:out std_logic);end lvbo1;architecture behav of lvbo1 issignal cnt1,cnt2:integer range 0 to 4;signal cnt:std_logic_vector(1 downto 0);signal tmp:std_logic;beginprocess(clk,x_in,start) begin if clk'event and clk='1'
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 灰狗巴士測試題及答案
- 福建省福州市本年度(2025)小學(xué)一年級數(shù)學(xué)統(tǒng)編版期中考試((上下)學(xué)期)試卷及答案
- 理解土木工程環(huán)境工程的相關(guān)考題及答案
- 深入學(xué)習(xí)樂理考試的分析方法試題及答案
- 建筑施工安全事故應(yīng)急響應(yīng)考題分析試題及答案
- 地面水環(huán)境試題及答案
- 小學(xué)課堂教學(xué)策略研究試題及答案
- 心內(nèi)科試題試卷及答案
- 物理同軸皮帶試題及答案
- 做人素質(zhì)測試題及答案
- 軟件工程實驗報告 概要設(shè)計
- 心衰評估量表
- 2023年08月甘肅省農(nóng)業(yè)科學(xué)院公開招聘30人筆試歷年難易錯點考題薈萃附帶答案詳解
- 應(yīng)用翻譯-華東交通大學(xué)中國大學(xué)mooc課后章節(jié)答案期末考試題庫2023年
- 大學(xué)生性健康教育智慧樹知到答案章節(jié)測試2023年南昌大學(xué)
- 2、圓口綱完整版課件
- JB/T 20173-2016輥壓干法制粒機
- 外科護理學(xué)題庫(中專)
- DB2110T 0004-2020 遼陽地區(qū)主要樹種一元、二元立木材積表
- 建設(shè)工程施工項目每日“防高墜三檢”檢查記錄表
- 住建部《建筑業(yè)10項新技術(shù)(2017版)》解讀培訓(xùn)課件
評論
0/150
提交評論