![小車(chē)運(yùn)動(dòng)控制VHDL描述研發(fā)設(shè)計(jì)_第1頁(yè)](http://file3.renrendoc.com/fileroot_temp3/2022-2/20/574c5381-1f91-4b8a-a75c-c3c08e767f31/574c5381-1f91-4b8a-a75c-c3c08e767f311.gif)
![小車(chē)運(yùn)動(dòng)控制VHDL描述研發(fā)設(shè)計(jì)_第2頁(yè)](http://file3.renrendoc.com/fileroot_temp3/2022-2/20/574c5381-1f91-4b8a-a75c-c3c08e767f31/574c5381-1f91-4b8a-a75c-c3c08e767f312.gif)
![小車(chē)運(yùn)動(dòng)控制VHDL描述研發(fā)設(shè)計(jì)_第3頁(yè)](http://file3.renrendoc.com/fileroot_temp3/2022-2/20/574c5381-1f91-4b8a-a75c-c3c08e767f31/574c5381-1f91-4b8a-a75c-c3c08e767f313.gif)
版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、Part 1:設(shè)計(jì)簡(jiǎn)介及背景知識(shí)控制對(duì)象由兩臺(tái)小型二相步進(jìn)電機(jī)驅(qū)動(dòng)的小車(chē)控制目標(biāo)一一通過(guò)檔位鍵盤(pán)(對(duì)應(yīng)信號(hào)為 dang3.O)和控制鍵盤(pán)(對(duì)應(yīng)信號(hào) kong2.O)實(shí)現(xiàn)小車(chē)以?xún)杉?jí)速度前進(jìn)、前左轉(zhuǎn)、前右轉(zhuǎn)、倒車(chē)、左倒 車(chē)和右倒車(chē)。矚慫潤(rùn)厲釤瘞睞櫪廡賴(lài)。本設(shè)計(jì)采用自頂向下的設(shè)計(jì)方法,分為三個(gè)模塊(見(jiàn)下頁(yè)圖),隊(duì)員分工設(shè)計(jì)。§ krnfcbcbd電臥承-幣恥 通bg時(shí)? &丨魯如H|晉*六鬧盤(pán)尙由命月科.駅* 迪WEMwd.沁.1=7-3 fl(緩慢啟動(dòng),實(shí)體名fen)第二模塊:分頻部分(不同檔位的不同頻率,實(shí)體名dangwei)第三模塊:脈沖分配器 部分(分配給不同的電 機(jī),實(shí)體
2、名:fenpei )各模塊的相關(guān)說(shuō)明步進(jìn)電機(jī)的轉(zhuǎn)速和輸入脈沖信號(hào)的頻率成正比,所以可以通過(guò)第二模塊產(chǎn)生不同的分頻信號(hào)控制其轉(zhuǎn)速。本設(shè)計(jì)采用二相雙四拍的電機(jī)工作模式,故須在第三模塊實(shí)現(xiàn)相應(yīng)的脈沖分配。第三模塊同時(shí)將脈沖導(dǎo)向不同的電機(jī),實(shí)現(xiàn)方向控制。殘騖樓諍錈瀨濟(jì)溆塹籟。步進(jìn)電機(jī)啟動(dòng)時(shí)不能加太高的頻率,否則會(huì)嘯叫、震動(dòng)、丟步,無(wú)法實(shí)現(xiàn)快速、穩(wěn)定的啟動(dòng),所以在第一模塊對(duì)輸入的時(shí)鐘脈沖sr elk進(jìn)行處理,再輸入下一級(jí)以實(shí)現(xiàn)控制信號(hào) 啟動(dòng)部分的過(guò)渡。 釅錒極額閉鎮(zhèn)檜豬訣錐。步進(jìn)電機(jī)的輸入脈沖順序相反時(shí)(相當(dāng)于反接線(xiàn)時(shí)) ,會(huì)反轉(zhuǎn),因此可以通過(guò)在第三模 塊對(duì)輸出反順序賦值實(shí)現(xiàn)反轉(zhuǎn)控制。 彈貿(mào)攝爾霽斃攬磚
3、鹵廡。關(guān)于仿真的說(shuō)明步進(jìn)電機(jī)的工作頻率在 KHZ 級(jí),此頻率下可忽略芯片內(nèi)部演示帶來(lái)的影響,故一下的 仿真大多為功能仿真。參考資料基于 VHDL 語(yǔ)言與 Quartus 軟件的可編程邏輯器件應(yīng)用與開(kāi)發(fā)鄭燕,赫建國(guó) ,黨劍華編著基于 Quartus II 的 FPGA/CPLD 設(shè)計(jì) 李洪偉 ,袁斯華編著 VHDL 語(yǔ)言設(shè)計(jì)技術(shù)陳耀和著數(shù)字電子技術(shù)基礎(chǔ) (第二版) 楊頌華 馮毛官 孫萬(wàn)蓉 初秀琴 胡力山編著 步進(jìn)電機(jī)綜述 北京市自動(dòng)化技術(shù)研究所,一機(jī)部電器科學(xué)研究 新版機(jī)器人技術(shù)手冊(cè) (日 ) 日本機(jī)器人學(xué)會(huì)編 宗光華Part 2:各模塊代碼及詳解第一模塊 :脈沖分頻一、模塊功能對(duì)信號(hào)的起始部分
4、的降頻(因啟動(dòng)時(shí)需頻率較低來(lái)過(guò)渡) ,輸出最終的控制脈沖 clk 。 通過(guò)對(duì)脈沖計(jì)數(shù)分先后在同一輸出 clk 上實(shí)現(xiàn) 8 、4 、2 、1 (即原頻)的輸出,以達(dá)到 緩慢啟動(dòng)。二、原程序及相關(guān)說(shuō)明library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fen isport(sr_CLK:IN std_logic;kong:in std_logic_vector(2 downto 0);clk:OUT std_logic);end fen; architecture div of fen is
5、signal Q:std_logic_vector(2 downto 0);- 自定義變量用于計(jì)數(shù)分頻轉(zhuǎn)接輸出等。 謀蕎摶篋飆鐸懟類(lèi)蔣薔。 signal C:std_logic;signal D:std_logic;signal E:std_logic;signal R:std_logic_vector(7downto 0):="00000000"beginprocess(sr_CLK)beginif sr_CLK'EVENT AND sr_CLK='1' thenif(Q="111")thenQ<="000&qu
6、ot;elseQ<=Q+1;end if;end if;end process;C<=Q(2);-8 分頻D<=Q(1);-4 分頻E<=Q(0);-2 分頻PROCESS(sr_CLK,kong) - 計(jì)數(shù),在前 96 個(gè)脈沖使用某低速,在其后的脈沖使用某中速,在97 個(gè)脈沖之后使用據(jù)橫速度 廈礴懇蹣駢時(shí)盡繼價(jià)騷。BEGINif sr_CLK'event and sr_CLK='1' thencase kong iswhen"011"|"101"|"110"=>if(R<&
7、quot;10000000")thenR <= R + 1;elseR <= R;end if;when others=>R <="00000000"end case;end if;end process;process(R,C,D,E,sr_CLK)beginif(R="OOOOOOOO")thenclk<='0'elsif(R="00000001")thenclk<= C;elsif(Rv"00100001")and(R>"00000
8、001")then-第1脈沖上升沿開(kāi)始八分頻 煢楨廣鰳鯡選塊網(wǎng)羈淚。clk<= C;elsif(R="00100001")thenclk<= D;elsif(R<"01000001")and(R>"00100001")then-第33脈沖上升沿開(kāi)始四分頻 鵝婭盡損鶴慘歷蘢鴛賴(lài)。 clk<= D;elsif(R="01000001")thenclk<= E;elsif(R<"01100001")and(R>"01000001&qu
9、ot;)then-第65脈沖上升沿開(kāi)始二分頻籟叢媽羥為贍債蟶練淨(jìng)。 clk<= E;elsif(R>="01100001")then-第97脈沖上升沿開(kāi)始原頻clk<=sr_CLK;end if;end process;end div;1、當(dāng)控制鍵kong為011時(shí)仿真波形為S 01;10.: ::d J; 二心HO Du 13)0 it 1;:.: ii150 OeIIIIIIIII:iiA、仿真波形唄imj血皿燦血叮mnrmTmimnmTnmm: n二口叮mr 2、當(dāng)控制鍵kong為101時(shí)仿真波形為彳血“ 1朋:加;rul騙lne印師;卜31伽F;i
10、t魅-htA規(guī)F抽訕 J1:! E:n 劃臨 :n L:rj 冊(cè)弗亂F馳F久厲帥飛帥51 1 1 1 1 1 1 1hi二 1!' loi1 hi冊(cè)miiimii血血伽伽伽伽rarnnmimi.疔A _*1111 1 1 1 11I1 1 11I; 113、當(dāng)控制鍵kong為110時(shí)仿真波形為Jjte 瀛 W & MMA帕wu毗$汎圧釦必 涮為珈i別血rijs別驗(yàn)1 1 1 1 1 1 1八ft3銅i腳二:皿:III:獻(xiàn)疋UUU UUU L'L JU UL lift1iiuwniiiwiiwiiwTnnMnmrMMmnom11!4、當(dāng)控制鍵kong為111時(shí)仿真波形為-
11、k k 'LCQ"a" ; FJ- 麗-Iff-tf叭K:.: b1測(cè)茁-SO Ji板 FIlli皿:u1汕bHF1B:u :.hi1no :IIIT»rN站威: 姑弘 寸時(shí)5)1? isIW :1 臉mi_ii_ii_ii_i四、遇到的問(wèn)題(1)在仿真時(shí)遇到分頻出現(xiàn)重疊使波形不是完整的8、4分頻,為什么?答:主要原因在于設(shè)置時(shí)脈沖個(gè)數(shù)未控制好。(2 )在波形仿真時(shí)出現(xiàn)一個(gè)三分頻,為什么?答:應(yīng)為二分頻和 原頻輸出的疊加。(3)原程序編譯通過(guò),功能仿真( Functional )和時(shí)序仿真(Timing )都能出現(xiàn),但最優(yōu)仿真去卩出不來(lái),為什么? ( Er
12、ror: Can't continuetim ingsimulati on using fasttiming model because delay annotation information for design is missing) 預(yù)頌圣鉉儐歲齦訝驊糴。第二模塊:分頻部分一、功能描述:1、輸入有兩個(gè):檔位鍵盤(pán)輸入的檔位信號(hào)dan g:in std-logic-vector(3 dow nto 0),是個(gè)四位的位型矢量;時(shí)鐘信號(hào)clk。滲釤嗆儼勻諤鱉調(diào)硯錦。dang鍵盤(pán)按“空、前一、前二、倒”為順序,當(dāng)某個(gè)鍵被按下則由“1 ”變?yōu)椤?0”,不按下時(shí)保持“ 1 ”;2輸出有一個(gè):
13、clkc初級(jí)時(shí)鐘;3 功能:(1)當(dāng) dang 為“ 0111 ”時(shí) 為空擋 ,輸出的(2)當(dāng) dang 為“ 1011 ”時(shí) 為前一檔,輸出的 一” 鐃誅臥瀉噦圣騁貺頂廡。(3 )當(dāng) dang 為“ 1101 ”時(shí) 為前二檔, 輸出的擁締鳳襪備訊顎輪爛薔。clkc 全為“ 0 “空”clkc 為 clk 一半頻率的時(shí)鐘;“前clkc 為 clk , 不做任何處理; “前二”4)當(dāng) dang 為“ 1110 ”時(shí) 為倒檔,輸出和前一檔的完全一樣;“倒”5 )其他所有情況都和空擋完全一樣。、程序:library ieee;use ieee.std_logic_1164.all;use ieee.
14、std_logic_unsigned.all;entity dangwei isport(dang:in std_logic_vector(3 downto 0);clk:in std_logic;clkc:out std_logic);end entity;architecture arc_dangwei of dangwei issignal count:std_logic:='0' (定義 count 的初始值為低電平) begin process(clk)beginif(dang="1101") thenclkc<=clk;elsif(clk&
15、#39;event and clk='1') thenif(dang="1011" or dang="1110") then count<=not count; clkc<=not count;elseclkc<='0'end if;end if;end process;end arc_dangwei;、仿真波形:(1 ) dang= “ 1101 ”時(shí)的輸出波形:Tirtie Bar.1S.S25 ns JPoirtlw.OpsInleival.-iafl3ris SLaLMarneYaIua at19
16、. 03 血selkE 1 ic-lkcB 1*1右曲tB 1101dans IDB d«Ti£lB d«ng2B 1dana3B 13 P =10. 0 nz20. Q deIS.025 ns3Q (?応4th (J n=尬卩(2) dang=“1011 ”和“ 1110 ”時(shí)的輸出波形:Irteivisi:】P=10. 9 ILS20. (f ILS30. q ra<o. q nz50.0 zgo. q ns'| * Rainter:Master Time Bai:19.025 nspISIKSra111019.03 mtlk£ Jti
17、kes aS旨凹£B 3011dmQi|皿1目;u】E 1E 0<3噸£ 1at土 PoHer344,04 nsEndMetier Time Bar4E LclkcB 0don占B 1110daagtO9 0如芝【iB 1Ian 虬2B 1daj£3B 1 1 1r I r I i n iJ1iJTO.EI360.0 xe=350.0x1=(3) da ng 輸出為“ 0000 ”時(shí):M=i fpr I hr Bai11025nt *| Pointer; 465.36ns Intewal; 446.34ns Start:NameValue at19.03 M
18、elkB 1clktB 01±| dugB 0X0L>dtt<0 B 0danlB 0D>daa?2B 02dm 3B 0ao.oI470.0 ns480.j ns490 .0500.卩妙510-,° nslr1廠(chǎng)00®(4 )整體輸出波形:<1>功能仿真波形Master Time Bai:T9 025 ngler91.71 rwIhlBivat72B9nsStait150.0 zS 0clkcB 1B 1000址沁口國(guó)0a 皿ijB 03B 0伽莓了5 1If oneVolutIS. 03_1111n _n n ii1'1
19、n 1100.0 皿110.0 hi1Z0.0 m130.0 hiHO.O hiJ_LTITL-TLJ_II_II_U1ri jirn rii .ii)ii_ip_ii ri ratTM. 0 iveiT8O. 0 iuei800. nsi320. 840. nsSEO O ng:B80. miiiiHieO ps*EkS 0win_n n n nm nii lj i_ i n n iatikeB 0JLULJL呼S duLEB 11.11irdqinCDB 1ni n n i 廠(chǎng)r_njuinjirjirLTTTT_rLTLn_rdsntlB 1jirmrn n b nn nnnnnnnnn
20、 n n n liadcng:2E Li_iH n n ri rnLj-LruuLTn n i-ltu-ltlutul*diuig3S L1_JDJILJLnjULrLruL.fL_n_rMastEf Time BarOpsIntBivat站l:End時(shí)序仿真波形* * Foinlar<2>« * Poirier22498 nsInter vat206.« nsStdtEndHoneV&lu« 4t19. Q3 竝elkB 1OxB QRl JlULgB 0QI0B 0duLg:iB 1duxc21B 0dsj;B 0Basler Time B
21、arIS 025 nsera p ns3ID ? Ri350 ,0 ns,390 ,0 ns430 0 皿4TO. 0 jifLnj_on nirnr nn mu minnn nnnnLnrLrLrL_mLn_iinrLrLrLUirL_rLrwuirL_rLrrLn_rLrLrL_LUTLTLTLTirn_rnLrLrLnwunLrLnwrLrLTLurn_tlt_nrTLJUirrL rL Lnn rLn四、操作過(guò)程中出現(xiàn)的問(wèn)題的作用范圍,可以讓其(1)有沒(méi)有可行的語(yǔ)句來(lái)控制wait un til clk'eve nt and clk='1'在我們?cè)O(shè)想的范圍內(nèi)起作用
22、,而不是控制整個(gè)process ?贓熱俁閫歲匱閶鄴鎵騷。編寫(xiě)程序的初衷是用case語(yǔ)句實(shí)現(xiàn),并用 wait until clk'event and clk='1'實(shí)現(xiàn)但是受邊沿觸發(fā)的影響,導(dǎo)致賦值操作邊沿觸發(fā),來(lái)達(dá)到二分頻和對(duì) clkc賦值的操作,Mastei Time1 Bar13.025 nsqjpQ 訊 61:IIpsIntetvak-13.03 nsStart:End;Name:Value <tj PS40. 0 ns80.C ns120.0 ns 卩ns200.0 n19 03 n?19 025 HE Jnrelkft |1!|!inr"rL
23、nLrLrLrLrLrLrLrLrLrLrLrLrLrLTLrLrLrLrLrclkvB 1BB 110111 DIirdang CldajiglB 1B 0daing2E IdiAt3jB 1(2 )程序仿真出現(xiàn)在時(shí)鐘下降沿時(shí)鐘信號(hào)不能控制輸出波形的情況該如何解決?一一如圖所示:3159EnjflameValue at19 03 hedkB 1dkcB 0回 dingB OQIOuzdang 0B 0uzE 1LTdang 2B 0ELdang 3B 0Masler Tim& Bai:340.0 as360. Ox380 0 m 400.0 m-420.0 n±-140.
24、0 m rI_ii_ii_ii_ii_ii_ii_ii_ii_ii_ii_ii_ir_ni n i ltljiiuiiin nri i l_tin n n n nn nn iL_orL_rL_rLJ_i n nnnni rn rnnrnrnnii1i li第三模塊:脈沖分配器部分一、設(shè)計(jì)基本要求脈沖分配器部分要控制的步進(jìn)電機(jī)為兩相,其中有三個(gè)輸入clkz、dang、kong信號(hào),有八個(gè)輸出z0z3、y0y3 信號(hào),且z0z3對(duì)應(yīng)左電機(jī)(小車(chē)左輪),y0y3 對(duì)應(yīng)右電機(jī)(小 車(chē)右輪)。蠟變黲癟報(bào)倀鉉錨鈰贅。將clkz分配為四個(gè)相互錯(cuò)開(kāi)的進(jìn)程內(nèi)部信號(hào) signal V,并在dang、kong信號(hào)的
25、作用下 完成對(duì)步進(jìn)電機(jī)不同工作方式的控制,進(jìn)而實(shí)現(xiàn)對(duì)二輪小車(chē)運(yùn)動(dòng)方式的控制。買(mǎi)鯛鴯譖曇膚遙閆擷凄。二、設(shè)計(jì)流程設(shè)計(jì)脈沖分配器部分流程如下:實(shí)體名:fen pei ("分配”)輸入有三個(gè): clkz :時(shí)鐘信號(hào)dang :in std-logic-vector(3 downto 0),是個(gè)四位的位型矢量kong: in std-logic-vector(2 downto 0),是個(gè)三位的位型矢量為順序排列,當(dāng)某個(gè)鍵被按下dang 鍵盤(pán)按 “空檔、前進(jìn)檔一、前進(jìn)檔二、倒檔則由“ 1”變?yōu)椤?0”,不按下時(shí)保持“ 1” 綾鏑鯛駕櫬鶘蹤韋轔糴。kong 鍵盤(pán)按 “兩輪都工作、僅左輪工作、僅右
26、輪工作 ”為順序排列,當(dāng)某個(gè)鍵被按下則由“ 1”變?yōu)椤?0 ”,不按下時(shí)保持“ 1 ”驅(qū)躓髏彥浹綏譎飴憂(yōu)錦。輸出有八個(gè):輸出到左輪( Z)的z0、z1、z2、z3輸出到右輪(y )的yO、y1、y2、y3功能說(shuō)明:首先將輸入的 clkZ 分配成四個(gè)信號(hào) v0 、 v1 、 v2 、 v3 ,用于電機(jī)驅(qū)動(dòng)的錯(cuò)開(kāi)的四相脈沖。然后, 貓蠆驢繪燈鮒誅髏貺廡。1. 當(dāng) dang 為“ 111O ”(倒檔)條件下:當(dāng) kong為“ O11 ”時(shí),將vOv3分別賦給 ZOZ3, 以及yOy3 ;“左右輪都工作”當(dāng) kong為“ 1O1 ”時(shí),將vOv3只賦給 ZOZ3,yOy3全賦零;“僅左輪工作”當(dāng) ko
27、ng為“ 11O ”時(shí),將vOv3只賦給 yOy3, ZOZ3全賦零;“僅右輪工作”當(dāng) kong為其他時(shí), Zl 和 yl 均賦全零;“左右輪都不工作”2. 當(dāng)da ng為“ 1011 ”或“ 1101 ”(前進(jìn)檔1,前進(jìn)檔2 )條件下:當(dāng)kong為“ O11”時(shí),將v3vO分別賦給 ZOZ3, 以及yOy3 ;“左右輪都工作”當(dāng)kong為“ 101”時(shí),將v3v0只賦給 Z0Z3,y0y3全賦零;“僅左輪工作”當(dāng)kong為“ 110”時(shí),將v3v0只賦給 y0y3, Z0Z3全賦零;“僅右輪工作”當(dāng)kong為其他時(shí), Z0Z3和 y0y3 均賦全零;“左右輪都不工作”3. 當(dāng) dang 為其
28、他時(shí), Z0Z3 和 y0y3 均賦全零;“左右輪都不工作”三、設(shè)計(jì)方法利用 VHDL 語(yǔ)言描述脈沖分配器,代碼如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpei isport(clkz : in std_logic;dang : in std_logic_vector(3 downto 0); 側(cè)閆繭絳闕絢勵(lì)蜆贅。kong : in std_logic_vector(2 downto 0); 饒鎂錕縊灩筧嚌儼淒。w:out std_logic_vector(3 do
29、wnto 0);z3,z2,z1,z0,y3,y2,y1,y0 : out std_logic); 煬鞏鶩錦。end fenpei;architecture arc_fenpei of fenpei is signal A:std_logic_vector(1 downto 0); signal V:std_logic_vector(3 downto 0);beginprocess(clkz)beginif clkz'event and clkz='1' then if(A="11")then A<="00" elseA&l
30、t;=A+1; end if; end if;case A is when"00"=>V<="0110" when"01"=>V<="0011" when"10"=>V<="1001" when"11"=>V<="1100"end case;end process;process(dang,kong,V)begincase dang iswhen"1110"=>i
31、f kong="011"then z3<=V(3);- 包含庫(kù) 鍬籟饗逕瑣筆襖鷗婭薔。- 實(shí)體( fenpei )說(shuō)明 構(gòu)氽頑黌碩飩薺齦話(huà)騖。- 時(shí)鐘輸入 輒嶧陽(yáng)檉籪癤網(wǎng)儂號(hào)澩。- 車(chē)輪工作方式第一選擇信號(hào)(4 位鍵盤(pán)輸入) 堯-車(chē)輪工作方式第二選擇信號(hào)(3 位鍵盤(pán)輸入) 識(shí)-4 位控制信號(hào)輸出 凍鈹鋨勞臘鍇癇婦脛糴。-左(乙)右(y)車(chē)輪控制信號(hào)輸出 恥諤銪滅縈歡-結(jié)構(gòu)體說(shuō)明 鯊腎鑰詘褳鉀溈懼統(tǒng)庫(kù)。-定義內(nèi)部信號(hào) 碩癘鄴頏謅攆檸攜驤蘞。-時(shí)鐘上升沿有效 閿擻輳嬪諫遷擇楨秘騖。-產(chǎn)生周期序列 0011 氬嚕躑竄貿(mào)懇彈瀘頷澩。-倒檔-(倒檔)左右輪都工作 釷鵒資贏車(chē)贖孫
32、滅獅贅。z2<=V(2);z1<=V(1);z0<=V(0);y3<=V(3);y2<=V(2);y1<=V(1);y0<=V(0);elsif kong="101"then z3<=V(3); z2<=V(2); z1<=V(1); z0<=V(0); y3<='0'y2<='0'y1<='0'y0<='0'elsif kong="110"then z3<='0' z2<=
33、'0' z1<='0'z0<='0' y3<=V(3); y2<=V(2); y1<=V(1); y0<=V(0);elsez3<='0'z2<='0'z1<='0'z0<='0'y3<='0'y2<='0'y1<='0'y0<='0'end if; when"1011"|"1101"=>if
34、 kong="011"then z3<=V(0); z2<=V(1); z1<=V(2); z0<=V(3);y3<=V(0);y2<=V(1);y1<=V(2);- (倒檔)僅左輪工作 慫闡譜鯪逕導(dǎo)嘯畫(huà)長(zhǎng)涼- (倒檔)僅右輪工作 諺辭調(diào)擔(dān)鈧諂動(dòng)禪瀉類(lèi)。- (倒檔)左右輪都不工作 嘰覲詿縲鐋囁偽純鉿錈。- 前進(jìn)檔 1 或前進(jìn)檔 2 熒紿譏鉦鏌觶鷹緇機(jī)庫(kù)。-(前進(jìn)檔)左右輪都工作 鶼漬螻偉閱劍鯫腎邏蘞。賻。y0<=V(3);elsif kong="101"then z3<=V(0); z2<=V(
35、1); z1<=V(2); z0<=V(3); y3<='0' y2<='0' y1<='0' y0<='0'elsif kong="110"then z3<='0' z2<='0' z1<='0' z0<='0' y3<=V(0); y2<=V(1); y1<=V(2); y0<=V(3);elsez3<='0' z2<='0&
36、#39; z1<='0' z0<='0' y3<='0' y2<='0' y1<='0' y0<='0'end if;when others=> z3<='0' z2<='0' z1<='0' z0<='0' y3<='0' y2<='0' y1<='0' y0<='0'end cas
37、e;end process;w<=V;end arc_fenpei;- (前進(jìn)檔)僅左輪工作 紂憂(yōu)蔣氳頑薟驅(qū)藥憫騖。- (前進(jìn)檔)僅右輪工作 穎芻莖蛺餑億頓裊賠瀧。- (前進(jìn)檔)左右輪都不工作 濫驂膽閉驟羥闈詔寢- 其余檔 , 左右輪都不工作 銚銻縵嚌鰻鴻鋟謎諏涼四、仿真結(jié)果茨1翻jlQMil.<!* 12穆1MMISf>I.T神3flBrQp二P4i丸r曙四閃1呻卅璉舊眸打s d op®涓¥ fft罠1wIW Q m:Iffl Q nr1啣卩皿IWPm-溜卩卯鶴,e胡?利腳卩邛USnunjwimrnnimwnnfrarniwnnnmiwniujnwnnn
38、iwJjWwmiwnMnjirmiLWMiwIlliIMLinLU X . qu X Wl . X . UD . I 111( . ItL S IflL I LMlmi .1 叩Xi«l血I nirLrirLTirLrLrLTLrLn LrTjTFLTTn_rLrLrLrLr n_ji_rLrLTLJT_rLrrLrLi rL_rLr_rLTLri_rLrL_rLrLjiJijrLrijTrLrLrLn LTTrLrrnrLrLrLrLrLr Lr_r_rLrLrLrL_rLri_m rTJirLr_rLrLrLn_rLrLr-TLrL-LrLrj-Lr LTLrLrTrLTLrLr
39、L T_rl_rLr_rLrLn_rL rL-LTL-TL-rLT-rL- n n n二: n n_rL rLTLTLn _rL_rLTLr i_L_r_r_r n_n_n_-n n廠(chǎng)JULL_run n nL-LTn nrr jnnnnn ._Ln_rLrLTLnn n n n n n無(wú)檔1 1 g 1 a J空覽j左右It事不工搆左右主郵不工任邸工惟辭工惟嚴(yán)耘工靠皿工非F面是脈沖分配器部分功能仿真和時(shí)序仿真結(jié)果,各部分的功能見(jiàn)圖中標(biāo)示:-£ 追 -| qkQp1 FsrtMlnh¥il1冊(cè)用再5WErtAira.i砂劃isis11制.Qis1Z5Q.i isZID.D
40、m.112®. D ns.3IQ D a.D.D m.111ZSQ.i is荊1.0 is11洵.1】isILQDm111血1I0JILLOI敘叮呱丄皿7010«0111111皿01111:口10_1肌町呱丁町抑讓呱0111血口5工麗口口町.小1町用皿”丁的曲叮們口Wtl盲總IQ1>II.射4>I4那f#詬017神田gMl. u ttr-血刃貳於mdcII:S daif川:tQll. I U1L訕】 :; 1陽(yáng) ¥ 皿1卿:觀 酬蹶®莎:藏瓢贈(zèng)鍛 H_r_rL-LrLm_r_nrm_mLrLr_rLr_/irLn_rLmH .n_r._n n
41、.nr._n_rirTi_rLrLrrLrL_LrLrrL_L_Lrj_rL_Lr.rrL_LrLr _rLrLJT_-LTTr_TTrL_rLrLrLrL-_rLr_rLrL-Lr_r_rL_L -rL-LrLr-rLrLrLrLrLjrVLrvLrLrLrLnjLrrLrL-LrLr-rLrL-LrLrLTLr fL-L_nTLTLTLrjL_nrtl"_n_njmnn_n_rlt_hLinrLTLTLTJl rLL-Lr_-L _rm_rLTLrL iLrLrLTLrLnrLLrrrj-LJi_ji_rLrLn_n jLrLrLTLnn_rmjit_tltJLTLT_._TLT
42、TT_. rLTLnrLTLnr_._._.nnnnn .:-TLTLLTLr._rLTLnrLrui配左虹作財(cái)16計(jì)蹩毎擂星滋1611作財(cái)It工作畝睥 韶人財(cái)缸作矍右紇卡:iwiwfjr.wHiniLUJirnwinnimmmmiiuiraimrjunraifnimramrjujmTnfnwrnirallwI«ai1nn:LLLOLLJLdL;(MJ JJJ OlJf 101丫 110KOJirrTTinjTJTrL-T_n; u-LTLTijrLrmrLrLrLr TrL-L-LT-rLTL-LT-r r_rLn_rLrrLTLn_rLrL n :mm憋彌:獅加號(hào)®:T
43、rTTTrLrrvT-rTTT-rL-LrT_r_rLrL_"_ 二 LrLrLrL-LrLTLrLrLrLnrLrLrLrLrLrLTirLrLrLn L-L-Lm-Lr-rLrL-Lr-T-rL-LrLm-Lrj rLrLrLrLrLrTrLrLrLrLrTrLrLrLrLrLrLrLTLnrun r. pr. ;- rrL_+_ni_tlMn - nn n njmi n n n njmj-LTLTLJrLrLTLTLr H-TL-LTL-JL耳軸(»si 001 h OOM) ”u 3WDf£.<0 Dn WQ if UO 4 Wt uis 購(gòu)0M。耳
44、590 J n111-廣r"廣rr r“r五、問(wèn)題討論在脈沖分配模塊的源程序中, 當(dāng)把控制信號(hào)輸出 z3z0,y3y0 : out std_logic改為 Z,Y:out std_logic_vector(3 downto 0) 時(shí),輸出結(jié)果出錯(cuò)。為什么? 擠貼綬電麥結(jié)鈺贖嘵類(lèi)。六、結(jié)論脈沖分配器部分使用 VHDL 語(yǔ)言設(shè)計(jì)了一種步進(jìn)電機(jī)控制器。根據(jù)仿真波形的分析, 基本達(dá)到了設(shè)計(jì)初期的要求, 能夠完成步進(jìn)電機(jī)在不同控制檔位 下工作模式的選擇, 實(shí)現(xiàn)了步進(jìn)電機(jī)正轉(zhuǎn)、反轉(zhuǎn)、 停止的要求,進(jìn)而實(shí)現(xiàn)了對(duì)兩輪小車(chē)的運(yùn) 動(dòng)方式的控制。 賠荊紳諮侖驟遼輩襪錈。Part 3 :頂層設(shè)計(jì)及仿真一、過(guò)
45、程頂層設(shè)計(jì)采用原理圖輸入。先將各模塊代碼轉(zhuǎn)換成頂層文件中可以調(diào)用的元件,并將生成的 .bsf 文件及 .vhd 文件 復(fù)制到工程文件夾中。在創(chuàng)建的 .bdf 文件中調(diào)用各個(gè)元件并連線(xiàn)、設(shè)定輸入輸出端口。最 后,編譯,仿真。 塤礙籟饈決穩(wěn)賽釙冊(cè)庫(kù)。這種設(shè)計(jì)方法結(jié)構(gòu)清晰,輸入方便,也更容易修改各模塊間的邏輯關(guān)系。 二、遇到的問(wèn)題開(kāi)始時(shí)只將 .bsf 文件復(fù)制在了工程文件夾中,在編譯過(guò)程中出現(xiàn)了 Error: Node instance "" instantiates undefined entity ",搜索后得知沒(méi)有將 .vhd 文件放在工程中,導(dǎo)致錯(cuò)誤。改正后,編譯通過(guò)。
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 二零二五年度城市民宿租賃合同示范文本2篇
- 礦井急救培訓(xùn)方案
- 二零二五版房屋收購(gòu)與附帶家具家電合同6篇
- 路橋路面改造施工方案
- 二零二五版離婚程序指導(dǎo)及雙方自愿協(xié)議合同3篇
- 二零二五年度城市基礎(chǔ)設(shè)施建設(shè)外協(xié)合同申請(qǐng)與驗(yàn)收辦法3篇
- 二零二五版學(xué)生校外住宿安全協(xié)議與住宿合同違約賠償合同3篇
- 二零二五年度奢侈品退換貨標(biāo)準(zhǔn)協(xié)議模板3篇
- 銀行高層裝修方案
- 二零二五年度教育機(jī)構(gòu)校園裝修工程協(xié)議書(shū)2篇
- 2025年人民教育出版社有限公司招聘筆試參考題庫(kù)含答案解析
- 康復(fù)醫(yī)學(xué)治療技術(shù)(士)復(fù)習(xí)題及答案
- 《血管性血友病》課件
- 高三日語(yǔ)一輪復(fù)習(xí)日語(yǔ)助詞「に」和「を」的全部用法課件
- 執(zhí)業(yè)醫(yī)師資格考試《臨床執(zhí)業(yè)醫(yī)師》 考前 押題試卷絕密1 答案
- 社會(huì)保險(xiǎn)課件教學(xué)課件
- 訂婚協(xié)議書(shū)手寫(xiě)模板攻略
- 宇航用商業(yè)現(xiàn)貨(COTS)器件保證指南-編制說(shuō)明
- 2024年安全員-C證考試題庫(kù)及答案(1000題)
- 《立體倉(cāng)庫(kù)鋼結(jié)構(gòu)貨架技術(shù)規(guī)范(征求意見(jiàn)稿)》
- 2024年貴州蔬菜集團(tuán)有限公司招聘筆試參考題庫(kù)附帶答案詳解
評(píng)論
0/150
提交評(píng)論