版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、北京郵電大學(xué)通信原理實(shí)驗(yàn)實(shí)驗(yàn)報(bào)告實(shí)驗(yàn)名稱:高斯最小移頻鍵控(GMSK)調(diào)制器實(shí)驗(yàn)學(xué)院: 信息與通信工程學(xué)院 班級(jí): 姓名: 聯(lián)系方式:郵箱: 學(xué)號(hào): 教師: 韓玉芬 目錄一、實(shí)驗(yàn)?zāi)康?二、實(shí)驗(yàn)內(nèi)容3三、實(shí)驗(yàn)原理31、 GMSK調(diào)制器工作原理及相位路徑的計(jì)算32、數(shù)字信號(hào)處理方法實(shí)現(xiàn)GMSK調(diào)制器5四、實(shí)驗(yàn)步驟6五、系統(tǒng)設(shè)計(jì)61、總體設(shè)計(jì)62、軟件部分73、硬件部分15六、故障與解決方法19七、心得體會(huì)20 八、參考文獻(xiàn).21一、 實(shí)驗(yàn)?zāi)康?、 通過(guò)利用數(shù)字基帶處理方法來(lái)實(shí)現(xiàn)高斯最小移頻鍵控(GMSK)調(diào)制器算法的基帶硬件實(shí)驗(yàn),對(duì)通信系統(tǒng)硬件實(shí)現(xiàn)有新的認(rèn)識(shí)及新的思路。2、 掌握MAX+plusI
2、I 及可編程器件的應(yīng)用。3、 學(xué)會(huì)C語(yǔ)言或Matlab軟件進(jìn)行GMSK相位路徑及仿真眼圖的編程。4、 正確使用測(cè)試儀表。5、 理論聯(lián)系實(shí)際,培養(yǎng)科學(xué)實(shí)驗(yàn)態(tài)度,提高實(shí)際動(dòng)手能力。二、 實(shí)驗(yàn)內(nèi)容1、 了解GMSK調(diào)制器工作原理,推導(dǎo)GMSK信號(hào)相位路徑的計(jì)算公式,掌握GMSK調(diào)制器數(shù)字化實(shí)現(xiàn)的原理。2、 掌握GMSK調(diào)制器數(shù)字化、實(shí)現(xiàn)地址邏輯的工作原理,用可編程邏輯器件實(shí)現(xiàn)地址邏輯的設(shè)計(jì),并仿真各點(diǎn)波形,分析檢驗(yàn)其時(shí)序邏輯關(guān)系。3、 了解GMSK相位路徑的編程流程圖,并用計(jì)算機(jī)編出相位路徑的余弦及正弦表。4、 為了檢驗(yàn)所編碼表的正確性,可進(jìn)一步利用計(jì)算機(jī)軟件檢驗(yàn)從上述碼表得出的GMSK基帶波形的眼
3、圖與理論計(jì)算是否一致,若兩者一致,說(shuō)明所編碼表正確,可將它寫(xiě)入EPROM中,并將EPROM片子插在GMSK調(diào)制器硬件實(shí)驗(yàn)板上。5、 在通信實(shí)驗(yàn)板上,正確使用測(cè)試儀表觀看GMSK基帶信號(hào)眼圖。(1)用示波器觀看GMSK基帶信號(hào)眼圖;(2)用邏輯分析儀觀看地址邏輯電路各點(diǎn)波形及其時(shí)序關(guān)系;(3)用頻譜儀觀看GMSK調(diào)制器基帶波形的功率譜。6、 按上述要求寫(xiě)出實(shí)驗(yàn)報(bào)告。三、 實(shí)驗(yàn)原理1、 GMSK調(diào)制器工作原理及相位路徑的計(jì)算MSK調(diào)制可以看成調(diào)制指數(shù)h=0.5的2FSK調(diào)制器,為了滿足移動(dòng)通信對(duì)發(fā)送信號(hào)功率譜的帶外輻射要求,在MSK調(diào)制前加入高斯濾波器,因而GMSK具有恒包絡(luò),連續(xù)相位的特點(diǎn),其旁
4、瓣衰減比MSK更快,頻譜利用率更高。產(chǎn)生GSMK信號(hào)的原理圖如下其中,g(t)為BT=0.3高斯濾波器矩形脈沖響應(yīng),調(diào)制指數(shù)h=0.5,bn為雙極性不歸零碼序列的第n個(gè)碼元,bn為+1或-1。高斯低通濾波器的傳輸函數(shù)為 式中,是與高斯濾波器的3dB帶寬有關(guān)的一個(gè)常數(shù)。由3dB帶寬定義有 即 所以 由此可見(jiàn),改變將隨之改變。濾波器的沖激響應(yīng)為由式看出,不是時(shí)限的,但它隨按指數(shù)規(guī)律迅速下降,所以可近似認(rèn)為它的寬度是有限的。由于它的非時(shí)限性,相鄰脈沖會(huì)產(chǎn)生重疊。如果輸入為雙極性不歸零矩形脈沖序列: 式中, 其中,為碼元間隔。高斯預(yù)調(diào)制濾波器的輸出為當(dāng)取不同值時(shí),高斯濾波器的矩形脈沖響應(yīng)g(t)如下圖
5、所示。經(jīng)計(jì)算,BTb=0.3的高斯濾波器的的積分面積為1/2,且滿足以下條件所以,對(duì)于BT=0.3的高斯濾波器,取g(t)的截短長(zhǎng)度為5T來(lái)計(jì)算GMSK信號(hào)的相位,就可達(dá)到足夠精度。由于g(t)在5T時(shí)間區(qū)間呢的積分面積為1/2,所以BT=0.3的GMSK相位路徑計(jì)算大為化簡(jiǎn)。2、數(shù)字信號(hào)處理方法實(shí)現(xiàn)GMSK調(diào)制器在算得后,即可算出及值。在工程上,首先將及離散化,制成表,固化在ROM中。由隨機(jī)數(shù)據(jù)形成ROM表的地址,根據(jù)地址取出ROM中相應(yīng)的基帶信號(hào)離散值,然后利用D/A將其數(shù)模變換成模擬基帶信號(hào)和,再由正交調(diào)制器將基帶頻譜搬移至載頻上。本實(shí)驗(yàn)的電路原理如圖所示。 在上圖中,虛框內(nèi)表示地址邏輯
6、,功能是取出所需要的采樣量化點(diǎn)。ROM表中存放的是1024個(gè)點(diǎn)的余弦值和正弦值。DAC是模數(shù)轉(zhuǎn)換,即將1024個(gè)數(shù)據(jù)進(jìn)行量化,可以通過(guò)計(jì)算機(jī)繪圖plot函數(shù)實(shí)現(xiàn)。由于存在著采樣造成的副主瓣,影響了功率譜特性,因此必須在D/A后加低通濾波器來(lái)抑制高頻分量,減少副主瓣對(duì)功率譜的影響。選用在上圖中,虛框內(nèi)表示地址邏輯,功能是取出所需要的采樣量化點(diǎn)。ROM表中存放的是1024個(gè)點(diǎn)的余弦值和正弦值。DAC是模數(shù)轉(zhuǎn)換,即將1024個(gè)數(shù)據(jù)進(jìn)行量化,又計(jì)算機(jī)繪圖程序?qū)崿F(xiàn)。LPF是低通濾波器,可抑制高頻分量,減少副主瓣對(duì)功率譜的影響。選用3dB帶寬為330kHz的6階貝塞爾低通濾波器,數(shù)模變換后的基帶信號(hào)經(jīng)低通
7、濾波器后的功率譜滿足GSM05.05建議的要求。四、 實(shí)驗(yàn)步驟1、 仔細(xì)推導(dǎo)g(t)、(t)的計(jì)算公式。2、 自學(xué)Matlab軟件。3、 用Matlab編寫(xiě)GMSK高斯濾波器的矩形脈沖響應(yīng)g(t)的函數(shù)。4、 繪制g(t)函數(shù)驗(yàn)證程序的正確性。5、 用Matlab編寫(xiě)計(jì)算(t)的程序。6、 用Matlab編寫(xiě)計(jì)算余弦和正弦的程序,并設(shè)計(jì)余弦和正弦ROM表。7、 將余弦及正弦碼表中每樣值的10bit碼字,按照地址邏輯進(jìn)行存放。8、 用預(yù)編碼后得到的隨機(jī)序列表,經(jīng)過(guò)地址邏輯運(yùn)算由ROM中順序取出及的離散值,然后利用計(jì)算機(jī)繪圖程序(功能相當(dāng)于數(shù)模變換DAC),得到基帶波形的輸出,觀察仿真眼圖。9、
8、把得到的正余弦表進(jìn)行數(shù)字量化,寫(xiě)入BIN文件,下載到硬件系統(tǒng)中,通過(guò)示波器觀察實(shí)際硬件實(shí)現(xiàn)的GMSK信號(hào)眼圖。五、 系統(tǒng)設(shè)計(jì)1、 總體設(shè)計(jì)總體結(jié)構(gòu)圖:VHDL硬件設(shè)計(jì)量化生成BIN文件產(chǎn)生高斯濾波器沖擊響應(yīng)g(t)實(shí)驗(yàn)板測(cè)試計(jì)算相位路徑(t)MATLAB仿真眼圖MATLAB繪制隨機(jī)序列的功率譜總體流程圖:2、軟件部分(1)g(t)函數(shù)的產(chǎn)生利用erfc()函數(shù)以及相應(yīng)的參數(shù)設(shè)置得到的表達(dá)式,然后作圖可以得到的圖像。代碼:function f = g( t )%計(jì)算g(t)clc;clear;T=1/270833;B=0.3/T;a=1/B*sqrt(log(2)/2);t=linspace(-
9、2.5*T,2.5*T,1000);f=1/(2*T)*1/2*(erfc(pi/a*(t-T/2)-erfc(pi/a*(t+T/2);plot(t,f);title(' BT=0.3時(shí),高斯濾波器矩形脈沖響應(yīng)g(t)');xlabel('t(限定在5T范圍內(nèi))');ylabel('g(t)');得到高斯濾波器沖擊響應(yīng)如下圖所示:(2)相位路徑(t)與正余弦碼表的產(chǎn)生思路:首先產(chǎn)生32種由5位0、1組合的序列b,既可以將所有情況羅列并一一賦值,也可以通過(guò)循環(huán)實(shí)現(xiàn),代碼選擇的是后者;其次是計(jì)算(t)的值,記為pb。(t)分為兩部分:(KT)與,將
10、所有pb添加至pa數(shù)組中;最后保存sin.mat和cos.mat。代碼:clc;clear;T=1/270833;B=0.3/T;a=sqrt(log(2)/2)/B;t=linspace(-2.5*T,2.5*T,1024);g=(t)(0.5*erfc(pi*(t-T/2)/a)-0.5*erfc(pi*(t+T/2)/a)/(2*T); b=zeros(32,5); % 32種組合 每種組合中5位for n=0:31 %生成數(shù)組b,內(nèi)容是32組01組合 m=n; for j=4:-1:1 if floor(m/2j)=1 b(n+1,5-j)=1,m=m-2j,end; end; b(n
11、+1,5)=m; for i=1:5 if b(n+1,i)=0 b(n+1,i)=-1; end; end;end; pa=;for n=0:31 for L=0:3 fai=0; for j=-2:2 fai=fai+pi*b(n+1,j+3)*quad(g,-2.5*T,-j*T-T/2); end fai=fai+L*pi/2; %計(jì)算kT處的fai值 for i=0:7 delta=0; for j=-2:2 delta=delta+pi*b(n+1,j+3)*quad(g,-j*T-T/2,i*T/8-j*T-T/2); end %計(jì)算delta的fai值 pb=fai+delta
12、; pa=pa,pb; %將pb添加入pa數(shù)組中 end endend%figure(2);%plot(t,pa);s=sin(pa); %正弦表c=cos(pa); %余弦表save('sin.mat','s');save('cos.mat','c');(3)眼圖的繪制思路:隨機(jī)產(chǎn)生碼元序列,從b數(shù)組中取出并換算為十進(jìn)制,根據(jù)得到的十進(jìn)制數(shù),從ROM中讀取出連續(xù)的八位離散數(shù)值通過(guò)計(jì)算機(jī)繪圖(DAC),觀察仿真眼圖。說(shuō)明:A、 開(kāi)辟空間存入ROM表以及偽隨機(jī)序列。B、根據(jù)所用的ROM表確定采樣頻率, 即一個(gè)碼元時(shí)間內(nèi)有8個(gè)抽樣值,
13、每樣值的量化電平是10,并設(shè)初始相位是0,即起始地址是0000000000。C、確定讀取信號(hào)的數(shù)目為10*TIMES,即每十個(gè)碼元時(shí)間的波形顯示于同一屏幕。共顯示TIMES次。D、由bk-2,bk-1,bk,bk+1,bk+25個(gè)碼元及象限L形成地址邏輯獲得ROM表中的7位地址;E、再取三位地址碼,順序取出i=0,1,2,3,4,5,6,7個(gè)抽樣量化值,由10位地址邏輯找到ROM中基帶波形的位置,將其轉(zhuǎn)換成實(shí)際值,存入一個(gè)數(shù)據(jù)文件用于眼圖仿真,共有10*TIMES*n個(gè)值(n=8);F、根據(jù)產(chǎn)生眼圖的原理,將每次掃描結(jié)果疊加而成,刪除程序中清屏幕命令,即可看到眼圖;代碼:p; m=223-1;
14、 %偽隨機(jī)序列個(gè)數(shù)TIMS=500; %顯示次數(shù)k=5;t=1:80;bcos=zeros(1,80);bsin=zeros(1,80);seq=round(rand(1,m); %產(chǎn)生偽隨機(jī)序列an=0,seq(1:4); %初始4位隨機(jī)碼,最開(kāi)始0或1關(guān)系到L初始值%an=1,seq(1:4);figure(1); for i=1:TIMS for j=0:9 %一屏顯示的碼元時(shí)間,五個(gè)眼 an=an(2:5),seq(k); %產(chǎn)生隨機(jī)組合 n=an(1)*16+an(2)*8+an(3)*4+an(4)*2+an(5); %將隨機(jī)組合換為十進(jìn)制 if(k=5) L=0; %初始化L e
15、lse seq(k-5)=2*seq(k-5)-1; L=L+seq(k-5); end; k=k+1; L=mod(L,4); num=n*32+L*8; for n=0:7 bcos(j*8+n+1)=c(num+n+1)+1; %取ROM中的余弦值 bsin(j*8+n+1)=s(num+n+1)+1; %取ROM中的正弦值 end; end; plot(t,bcos); hold on; title('cos眼圖');end;眼圖仿真波形圖: (4)量化并生出BIN文件思路:1. 將正余弦表進(jìn)行10bit單極性量化,量化范圍為0-1023。2. 芯片ROM為8K,量化后
16、的正余弦高兩位、低八位分別放在不同的芯片里,需要三個(gè)BIN文件,分別是cosbin,sinbin,cossin。3. 硬件結(jié)構(gòu)中A2、A1、A0是沒(méi)有用的,在寫(xiě)入BIN文件前需要在每?jī)蓚€(gè)量化結(jié)果之間插入7個(gè)0作為低位,即對(duì)每一個(gè)BIN文件進(jìn)行擴(kuò)容,這點(diǎn)造成了存儲(chǔ)空間的很大浪費(fèi)。代碼:cosbin=zeros(10,1024);sinbin=zeros(10,1024);p; c1=(c+1)*511.5; %值為0-1023c2=(s+1)*511.5;for i=1:1024 %量化cos m=c1(i); for j=9:-1:1 if floor(m/2j)=1 cosbin(10-j,
17、i)=1;m=m-2j;end; end; cosbin(10,i)=floor(m);end;for i=1:1024 %量化sin m=c2(i); for j=9:-1:1 if floor(m/2j) sinbin(10-j,i)=1;m=m-2j;end; end; sinbin(10,i)=floor(m);end; coslow=zeros(1,1024); %寫(xiě)入三個(gè)數(shù)組sinlow=zeros(1,1024);cossin=zeros(1,1024);for i=1:1024 coslow(i)=cosbin(3,i)*27+cosbin(4,i)*26+cosbin(5,i
18、)*25+cosbin(6,i)*24+cosbin(7,i)*23+cosbin(8,i)*22+cosbin(9,i)*2+cosbin(10,i); sinlow(i)=sinbin(3,i)*27+sinbin(4,i)*26+sinbin(5,i)*25+sinbin(6,i)*24+sinbin(7,i)*23+sinbin(8,i)*22+sinbin(9,i)*2+sinbin(10,i); cossin(i)=sinbin(1,i)*27+sinbin(2,i)*26+cosbin(1,i)*2+cosbin(2,i);end; coslowbin=zeros(1,1024*
19、8); %產(chǎn)生擴(kuò)容后的數(shù)組,地址結(jié)構(gòu)決定sinlowbin=zeros(1,1024*8);cossinbin=zeros(1,1024*8);for i=1:1024 coslowbin(i*8-7)=coslow(i); sinlowbin(i*8-7)=sinlow(i); cossinbin(i*8-7)=cossin(i);end;fidcos = fopen('cosbin.bin','w');fwrite(fidcos,coslowbin);fidsin = fopen('sinbin.bin','w');fwrit
20、e(fidsin,sinlowbin);fidcossin = fopen('cossin.bin','w');fwrite(fidcossin,cossinbin);fclose('all');產(chǎn)生的BIN文件如下圖所示: cos和sin的高兩位合成的BIN文件 cos低八位的BIN文件 sin低八位的BIN文件 (5) 眼圖的功率譜密度思路:仿真功率譜密度使用偽隨機(jī)序列提供地址邏輯,最后生成的值進(jìn)行傅立葉變換,再平方,運(yùn)用對(duì)數(shù)刻度將其plot即可仿真功率譜密度。其中的傅里葉變換依靠fft方法。代碼:p;fs=2.166664*106; %采樣
21、速率(Hz)m=27; %采樣點(diǎn)數(shù)T=(m-4)/270833; %時(shí)域范圍(時(shí)域點(diǎn)數(shù))df=1/T;f=-fs/2+df:df:fs/2; %頻域范圍(頻域點(diǎn)數(shù)) bn=round(rand(1,m);tmp=0,bn(1:4); %b(k-2)至b(k+2)數(shù)據(jù)暫存器k=5;bcos=;for i=1:m-4 %bn取值范圍為1至m tmp=tmp(2:5),bn(k); n=tmp(1)*16+tmp(2)*8+tmp(3)*4+tmp(4)*2+tmp(5);%對(duì)應(yīng)數(shù)列中位置的高5位 if k=5 L=0; else bn(k-5)=2*bn(k-5)-1; %預(yù)編碼 L=L+bn(k
22、-5); end; L=mod(L,4); %L取模4 k=k+1; num=n*32+L*8; %對(duì)應(yīng)數(shù)列中的實(shí)際地址 bcos(i*8-7:i*8)=c(num+1:num+8); %8位采樣點(diǎn)end;S=t2f(bcos,fs);Eg=abs(S).2;plot(f,10*log10(Eg);xlabel('f(Hz)');ylabel('dB/Hz');title('GMSK功率譜密度圖');%傅里葉正變換function S= t2f(s,fs) % s代表輸入信號(hào),S代表s的頻譜,fs是采樣頻率N= length(s); %樣點(diǎn)總數(shù)T
23、= 1/fs*N; %觀察時(shí)間f= -N/2:(N/2-1)/T; % 頻率采樣點(diǎn)tmp1= fft(s)/fs;tmp2= N*ifft(s)/fs;S(1:N/2)= tmp2(N/2+1: -1:2);S(N/2+1:N)= tmp1(1:N/2);S= S.*exp(j*pi*f*T);end生成的功率譜仿真圖如下圖所示:(不同的隨機(jī)序列) 3、硬件部分硬件我們采用的是GMSK調(diào)制器通信系統(tǒng)實(shí)驗(yàn)箱,電擦除器,28管腳ROM編程器。電路設(shè)計(jì)可分為時(shí)鐘分頻、偽隨機(jī)序列的產(chǎn)生、地址邏輯的生成3部分。其中時(shí)鐘分頻和偽隨機(jī)序列產(chǎn)生可由VHDL語(yǔ)言實(shí)現(xiàn),地址邏輯的生成可由硬件之間的連線實(shí)現(xiàn)。(1)
24、地址邏輯框圖利用Quartus軟件得到的地址邏輯電路圖如下圖。 其中clockmgdf器件是時(shí)鐘脈沖發(fā)生器以及偽隨機(jī)序列發(fā)生器;DATA為偽隨機(jī)輸出信號(hào),A5、A4、A3為8個(gè)采樣值的邏輯地址碼;A6、A7為兩位象限邏輯地址碼,對(duì)應(yīng)L從0到3;A8、A9、A10、A11、A12為5為信息地址碼。時(shí)鐘脈沖發(fā)生器的VHDL代碼為:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity clockmgdf isport(CLK:in std_logic;A3:out std_logic;A4:ou
25、t std_logic;A5:out std_logic;DATA:out std_logic);end;architecture a of clockmgdf issignal adr:std_logic_vector(2 downto 0);signal m:std_logic_vector(22 downto 0):= (others=> '0');signal n:integer range 0 to 7;beginprocess(CLK)beginif (CLK'event and CLK='1') thenif n = 7 thenn
26、<= 0;if m = 0 then m(0) <= '1'elsem <= m(21 downto 0) & (m(0) xor m(22);end if;elsen <= n + 1;end if;adr <= adr + 1;end if;end process;A3 <= adr(0);A4 <= adr(1);A5 <= adr(2);DATA <= m(0);end;偽隨機(jī)序列發(fā)生器的VHDL代碼為:library ieee;use ieee.std_logic_1164.all;use ieee.std
27、_logic_unsigned.all;entity addrlogic isport(DATA:in std_logic;A5:in std_logic;A6:out std_logic;A7:out std_logic;A8:out std_logic;A9:out std_logic;A10:out std_logic;A11:out std_logic;A12:out std_logic);end;architecture a of addrlogic issignal DataTemp:std_logic_vector(4 downto 0):="00000"si
28、gnal L:std_logic_vector(1 downto 0):="00"beginprocess(A5)beginif (A5'event and A5='0') thenif(DataTemp(4)='0') thenL<=L-1;elseL<=L+1;end if;DataTemp <= DataTemp(3 downto 0) & DATA;end if;end process;A6<= L(0);A7<= L(1);A8<= DataTemp(0);A9<= Data
29、Temp(1);A10<= DataTemp(2);A11<= DataTemp(3);A12<= DataTemp(4);end;(2)輸出波形計(jì)算機(jī)仿真邏輯的輸出波形為:(3)器件編程 將文件下載到GMSK通信系統(tǒng)實(shí)驗(yàn)箱通信實(shí)驗(yàn)板,用邏輯分析儀或存儲(chǔ)示波器觀測(cè)地址邏輯波形。其中管腳分配如下:地址線A12A11A10A9A8A7A6A5A4A3管腳號(hào)46686765646361604948(4)硬件調(diào)試步驟A、用編程器將量化后的碼表的二進(jìn)制bin數(shù)據(jù)文件下載到ROM中,在將下載成功的芯片插在GMSK通信實(shí)驗(yàn)板上,注意芯片的位置和方向;B、將GMSK通信實(shí)驗(yàn)板上的JTAG接口與計(jì)算機(jī)相連;C、將雙路穩(wěn)壓電源調(diào)整為±16V;D、接通電源,用示波器觀察余弦經(jīng)過(guò)低通濾波器LPF后的輸出波形,與Matlab軟件仿真的眼圖比較。(5)示波器輸出眼圖六、 故障與解決方法問(wèn)題1 眼圖如下:寫(xiě)程序代碼時(shí)對(duì)每屏10個(gè)碼元時(shí)間理解不深:十個(gè)碼元時(shí)間指的是十個(gè)變化過(guò)程,共有五個(gè)周期,即五個(gè)眼。
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025年度個(gè)人住宅小區(qū)地下車庫(kù)車位買賣協(xié)議范本2篇
- 2025年度個(gè)人帶車庫(kù)帶儲(chǔ)藏室公寓買賣協(xié)議
- 2025年度個(gè)人二手挖掘機(jī)買賣合同范本全新升級(jí)版2篇
- 2025年全球及中國(guó)智能安防巡檢機(jī)器人行業(yè)頭部企業(yè)市場(chǎng)占有率及排名調(diào)研報(bào)告
- 2025-2030全球胃電刺激裝置行業(yè)調(diào)研及趨勢(shì)分析報(bào)告
- 2025年全球及中國(guó)可調(diào)鎖骨矯正器行業(yè)頭部企業(yè)市場(chǎng)占有率及排名調(diào)研報(bào)告
- 2024年軍隊(duì)文職人員招聘考試題庫(kù)
- 2025年度頁(yè)巖磚生產(chǎn)廢棄物資源化利用技術(shù)研發(fā)合同4篇
- 2025年度老舊小區(qū)改造工程維修管理服務(wù)合同范本2篇
- 二零二五年度櫥柜品牌授權(quán)生產(chǎn)與銷售代理合同3篇
- 醫(yī)保政策與健康管理培訓(xùn)計(jì)劃
- 無(wú)人化農(nóng)場(chǎng)項(xiàng)目可行性研究報(bào)告
- 《如何存款最合算》課件
- 社區(qū)團(tuán)支部工作計(jì)劃
- 拖欠工程款上訪信范文
- 2024屆上海市金山區(qū)高三下學(xué)期二模英語(yǔ)試題(原卷版)
- 學(xué)生春節(jié)安全教育
- 《wifi協(xié)議文庫(kù)》課件
- 《好東西》:女作者電影的話語(yǔ)建構(gòu)與烏托邦想象
- 教培行業(yè)研究系列(七):出國(guó)考培的再研究供需變化的新趨勢(shì)
- GB/T 44895-2024市場(chǎng)和社會(huì)調(diào)查調(diào)查問(wèn)卷編制指南
評(píng)論
0/150
提交評(píng)論