基于-FPGA數(shù)據(jù)采集系統(tǒng)電路設(shè)計(jì)_第1頁
基于-FPGA數(shù)據(jù)采集系統(tǒng)電路設(shè)計(jì)_第2頁
基于-FPGA數(shù)據(jù)采集系統(tǒng)電路設(shè)計(jì)_第3頁
基于-FPGA數(shù)據(jù)采集系統(tǒng)電路設(shè)計(jì)_第4頁
基于-FPGA數(shù)據(jù)采集系統(tǒng)電路設(shè)計(jì)_第5頁
已閱讀5頁,還剩8頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、-PAGE . z泉 州 師 學(xué) 院 畢業(yè)論文設(shè)計(jì)題目 基于FPGA的數(shù)據(jù)采集系統(tǒng)電路設(shè)計(jì)物理與信息工程 學(xué)院 電子信息科學(xué)與技術(shù) 專業(yè) 2007 級(jí)學(xué)生 柏睿 * 070303029指導(dǎo)教師 曾永西 職稱 講師 完成日期 2021年4月2日教務(wù)處 制基于FPGA的數(shù)字采集系統(tǒng)電路設(shè)計(jì)師學(xué)院 電子信息科學(xué)與技術(shù)專業(yè) 070303029柏睿指導(dǎo)教師 曾永西 講師【摘要】本文介紹了基于FPGA的數(shù)據(jù)采集系統(tǒng)電路的工作原理和設(shè)計(jì)過程。根據(jù)數(shù)據(jù)采集技術(shù)原理,以Altera公司的EP2C8Q208C8N芯片為核心器件,通過ADC0809采集數(shù)據(jù),并用DAC0832輸出數(shù)據(jù),在Quartus II平臺(tái)上,

2、通過VHDL語言編程完成數(shù)據(jù)采集系統(tǒng)電路的軟件設(shè)計(jì)、編譯、調(diào)試、仿真和下載,再與外圍硬件電路相結(jié)合調(diào)試,最終設(shè)計(jì)出數(shù)據(jù)采集系統(tǒng)電路?!娟P(guān)鍵詞】FPGA;Quartus II;VHDL;數(shù)據(jù)采集目錄 TOC o 1-3 h z u HYPERLINK l _Toc290586397第一章緒論 PAGEREF _Toc290586397 h 3HYPERLINK l _Toc2905863981.1 引言 PAGEREF _Toc290586398 h 3HYPERLINK l _Toc2905863991.2 EDA簡介 PAGEREF _Toc290586399 h 3HYPERLINK l

3、_Toc2905864001.3 FPGA簡介 PAGEREF _Toc290586400 h 3HYPERLINK l _Toc2905864011.4 VHDL語言簡介 PAGEREF _Toc290586401 h 3HYPERLINK l _Toc2905864021.5 Quartus II簡介 PAGEREF _Toc290586402 h 4HYPERLINK l _Toc2905864031.6 數(shù)據(jù)采集技術(shù)簡介 PAGEREF _Toc290586403 h 4HYPERLINK l _Toc290586404第二章總體設(shè)計(jì) PAGEREF _Toc290586404 h 4

4、HYPERLINK l _Toc2905864052.1 硬件設(shè)計(jì) PAGEREF _Toc290586405 h 4HYPERLINK l _Toc2905864062.1.1 線性電源模塊 PAGEREF _Toc290586406 h 4HYPERLINK l _Toc2905864072.1.2 數(shù)據(jù)采集模塊 PAGEREF _Toc290586407 h 6HYPERLINK l _Toc2905864082.1.3 數(shù)據(jù)輸出模塊 PAGEREF _Toc290586408 h 8HYPERLINK l _Toc2905864092.1.4 按鍵控制模塊 PAGEREF _Toc29

5、0586409 h 10HYPERLINK l _Toc2905864102.2 軟件設(shè)計(jì) PAGEREF _Toc290586410 h 11HYPERLINK l _Toc2905864112.2.1 ADCINT設(shè)計(jì) PAGEREF _Toc290586411 h 11HYPERLINK l _Toc2905864122.2.2 T10B設(shè)計(jì) PAGEREF _Toc290586412 h 12HYPERLINK l _Toc2905864132.2.3 RAM8設(shè)計(jì) PAGEREF _Toc290586413 h 12HYPERLINK l _Toc290586414時(shí)鐘控制設(shè)計(jì) PA

6、GEREF _Toc290586414 h 12HYPERLINK l _Toc290586415系統(tǒng)頂層設(shè)計(jì) PAGEREF _Toc290586415 h 13HYPERLINK l _Toc290586416第三章系統(tǒng)軟硬件調(diào)試 PAGEREF _Toc290586416 h 14HYPERLINK l _Toc290586417結(jié)論 PAGEREF _Toc290586417 h 15HYPERLINK l _Toc290586418致 PAGEREF _Toc290586418 h 15HYPERLINK l _Toc290586419參考文獻(xiàn) PAGEREF _Toc2905864

7、19 h 15HYPERLINK l _Toc290586420英文翻譯 PAGEREF _Toc290586420 h 17HYPERLINK l _Toc290586421附錄一線性電源、FPGA外圍電路和FPGA最小系統(tǒng)連接口PCB PAGEREF _Toc290586421 h 18HYPERLINK l _Toc290586422附錄二系統(tǒng)各模塊VHDL程序 PAGEREF _Toc290586422 h 19第一章 緒論1.1 引言隨著數(shù)字系統(tǒng)的開展,廣泛應(yīng)用于各種學(xué)科領(lǐng)域及日常生活,微型計(jì)算機(jī)就是一個(gè)典型的數(shù)學(xué)系統(tǒng)。但是它只能對(duì)輸入的數(shù)字信號(hào)進(jìn)展處理,其輸出信號(hào)也是數(shù)字信號(hào)。而在

8、工業(yè)檢測控制和生活中的許多物理量都是連續(xù)變化的模擬量,如溫度、壓力、流量、速度等,這些模擬量可以通過傳感器或換能器變成與之對(duì)應(yīng)的電壓、電流或頻率等電模擬量。為了實(shí)現(xiàn)數(shù)字系統(tǒng)對(duì)這些電模擬量進(jìn)展檢測、運(yùn)算和控制,就需要一個(gè)模擬量與數(shù)字量之間的相互轉(zhuǎn)換的過程。即常常需要將模擬量轉(zhuǎn)換成數(shù)字量,簡稱為AD轉(zhuǎn)換,完成這種轉(zhuǎn)換的電路稱為模數(shù)轉(zhuǎn)換器,簡稱ADC。1.2 EDA簡介EDA,即電子設(shè)計(jì)自動(dòng)化Electronic Design Automation的縮寫。它融合了大規(guī)模集成電路制造急速、ASIC測試和封裝技術(shù)、FPGA/CPLD編程下載技術(shù)、自動(dòng)測試技術(shù)、計(jì)算機(jī)輔助設(shè)計(jì)CAD、計(jì)算機(jī)輔助制造CAM、

9、計(jì)算機(jī)輔助測試CAT和計(jì)算機(jī)輔助工程CAE設(shè)計(jì)的設(shè)計(jì)概念,為現(xiàn)代電子理論和設(shè)計(jì)的實(shí)現(xiàn)和開展提供了可能性1。EDA技術(shù)是一種綜合性學(xué)科,打破了軟件和硬件見的壁壘,把計(jì)算機(jī)的軟件技術(shù)與硬件技術(shù)、設(shè)計(jì)效率和產(chǎn)品性能結(jié)合在一起,它代表了電子設(shè)計(jì)技術(shù)和應(yīng)用技術(shù)的開展方向。 EDA技術(shù)一般包括以下容:1.大規(guī)模可編程邏輯器件;2.硬件描述語言;3.軟件開發(fā)工具;4.實(shí)驗(yàn)開發(fā)系統(tǒng)2。1.3 FPGA簡介FPGA,即現(xiàn)場可編程門陣列FieldProgrammable Gate Array的縮寫。它是一種集成度較高的器件,屬于復(fù)雜PLD。FPGA具有體系構(gòu)造和邏輯單元靈活、集成度高以及適用圍寬等特點(diǎn),在產(chǎn)品研發(fā)

10、和開發(fā)中具有很大的優(yōu)勢。用FPGA做一些協(xié)議實(shí)現(xiàn)和邏輯控制,如果協(xié)議理解錯(cuò)誤或者邏輯需要更改,不需要?jiǎng)覲CB。另外,F(xiàn)PGA芯片是小批量系統(tǒng)提高系統(tǒng)集成度、可靠性的最正確選擇之一。目前FPGA的品種很多,有*ILIN*的*C系列、TI公司的TPC系列、ALTERA公司的FIE*系列等。本設(shè)計(jì)用的是Altera公司的EP2C8Q208C8N芯片,里面有68416個(gè)邏輯單元,并提供了622個(gè)可用的輸入/輸出引腳和1.1M比特的嵌入式存放器。它提高了百分之六十的性能和降低了一半的功耗,而低本錢和優(yōu)化特征使它為各種各樣的汽車、消費(fèi)、通訊、視頻處理、測試與測量、和其他最終市場提供理想的解決方案3。1.4

11、VHDL語言簡介誕生于1983年的VHDL,是Very-High-Speed Integrated Circuit Hardware Description Language的簡稱,1987年底,VHDL被作為IEEE標(biāo)準(zhǔn)1076發(fā)布。VHDL不僅可以作為系統(tǒng)模擬的建模工具,而且可以作為電路系統(tǒng)的設(shè)計(jì)工具,能通過Quartus II把VHDL源碼自動(dòng)轉(zhuǎn)化為根本邏輯元件連接圖,這極大的推進(jìn)了電路自動(dòng)設(shè)計(jì)4。VHDL能從多個(gè)層次對(duì)數(shù)字系統(tǒng)進(jìn)展建模和描述,所以大大簡化了電路設(shè)計(jì)的任務(wù),提高了設(shè)計(jì)效率。1.5 Quartus II簡介由Altera提供的FPGA開發(fā)集成環(huán)境Quartus II,因?yàn)槠?/p>

12、運(yùn)行速度快,界面統(tǒng)一,功能集中,易學(xué)易用等特點(diǎn),迅速占領(lǐng)了市場5。Quartus II支持VHDL、Verilog的設(shè)計(jì)流程,提供了完整的多平臺(tái)設(shè)計(jì)環(huán)境,能滿足各種特定設(shè)計(jì)的需要,同時(shí),它還具備仿真功能,因此給系統(tǒng)的軟硬件設(shè)計(jì)和調(diào)試帶來了很大的便利。1.6 數(shù)據(jù)采集技術(shù)簡介系統(tǒng)利用FPGA直接控制ADC0809對(duì)模擬信號(hào)進(jìn)展采樣,將轉(zhuǎn)換好的8位二進(jìn)制數(shù)據(jù)存儲(chǔ)到存儲(chǔ)器中,在完成對(duì)模擬信號(hào)一個(gè)或數(shù)個(gè)周期的采樣后,通過DAC0832的輸出端將數(shù)據(jù)讀取出來。第二章 總體設(shè)計(jì)2.1 硬件設(shè)計(jì)2.1.1 線性電源模塊根據(jù)系統(tǒng)要求,需提供+12V、-12V、+5V的電源。因此我采用了濾波電容、防自激電容、L

13、ED燈及固定式三端穩(wěn)壓器LM7905、LM7812和LM7912等器件搭建成能產(chǎn)生精度高、穩(wěn)定度好的直流輸出電壓的線性電源電路。系統(tǒng)的線性電源電路局部原理圖如圖2.1所示:圖2.1 系統(tǒng)的線性電源模塊電路當(dāng)電路接通后,如果LED燈亮起,則代表能產(chǎn)生出要求的電壓。為了實(shí)驗(yàn)的攜帶方便,我另外再加上電源變壓器和整流電橋。在畫PCB的時(shí)候,用大面積覆銅,有助于美觀和節(jié)約實(shí)驗(yàn)器材。實(shí)物如圖2.2所示:圖2.2系統(tǒng)的線性電源實(shí)物圖PCB圖見附錄一。2.1.2 數(shù)據(jù)采集模塊系統(tǒng)采用ADC0809進(jìn)展數(shù)據(jù)采集。ADC0809是逐次逼近式A/D轉(zhuǎn)化器,由8位A/D轉(zhuǎn)換器、8路多路開關(guān)以及微處理機(jī)兼容組成的控制邏

14、輯的CMOS組件。ADC0809每進(jìn)展一次比擬,即決定數(shù)字碼中的以為碼的去留操作,需要8個(gè)時(shí)鐘的脈沖,而它是8位A/D轉(zhuǎn)換器,所以它完成一次轉(zhuǎn)換需要8*8=64個(gè)時(shí)鐘,這樣它的轉(zhuǎn)換時(shí)間為t=64*1/f,f為時(shí)鐘頻率。系統(tǒng)用的時(shí)鐘為500KHz,所以ADC0809的轉(zhuǎn)換時(shí)間為128us6。因?yàn)椴蓸訒r(shí)需要滿足采樣定理,即采樣頻率需要大于等于輸入信號(hào)最高頻率的2倍,所以ADC0809能采樣的最高頻率為3906.25Hz。ADC0809的主要特性:1.分辨率為8位。2.具有轉(zhuǎn)換啟停控制端。3.單個(gè)+5V電源供電。4.模擬輸入電壓圍0+5V,不需要零點(diǎn)和滿刻度校準(zhǔn)。系統(tǒng)中由可調(diào)電位器提供。5.工作溫度

15、圍為-40+85攝氏度。6.低功耗,約15mW。它的部邏輯構(gòu)造如圖2.3所示:圖2.3 ADC0809部邏輯構(gòu)造ADC0809引腳排列如圖2.4所示:圖2.4 ADC0809引腳排列ADC0809為28引腳雙列直插式封裝,各引腳含義如下:IN0IN7:8位模擬量輸入引腳。D0D7:8位數(shù)字輸出量引腳。START:A/D轉(zhuǎn)換啟動(dòng)信號(hào)輸入端。EOC:轉(zhuǎn)換完畢信號(hào)輸出引腳,開場轉(zhuǎn)換時(shí)為低電平,當(dāng)轉(zhuǎn)換完畢時(shí)為高電平。OE:輸出允許控制端,用以翻開三態(tài)數(shù)據(jù)輸出鎖存器。CLK:時(shí)鐘信號(hào)輸入端。VCC:+5V工作電壓。VREF:參考電壓正端。GND:地。VREF:參考電壓負(fù)端。ALE:地址鎖存允許信號(hào)輸入端

16、。ABC:地址輸入線。系統(tǒng)的數(shù)據(jù)采集模塊局部電路原理圖如圖2.5所示:圖2.5 系統(tǒng)數(shù)據(jù)采集模塊電路當(dāng)ALE高電平有效時(shí),因?yàn)锳BC接的都是低電平,所以選擇的是IN0通道。當(dāng)START為上跳沿時(shí),所有部存放器清零;下跳沿時(shí),開場A/D轉(zhuǎn)換;在轉(zhuǎn)換期間,START需保持低電平不變。而當(dāng)EOC為高電平時(shí),說明A/D轉(zhuǎn)換完畢。當(dāng)OE=1時(shí),輸出轉(zhuǎn)換得來的數(shù)據(jù);否則,輸出數(shù)據(jù)線呈高阻態(tài)。PCB圖見附錄一。2.1.3 數(shù)據(jù)輸出模塊系統(tǒng)采用的數(shù)據(jù)輸出為DAC0832。DAC0832是8分辨率的D/A轉(zhuǎn)換集成芯片,由8位輸入鎖存器、8位DAC存放器、8位D/A轉(zhuǎn)換電路及轉(zhuǎn)換控制電路構(gòu)成。它因?yàn)閮r(jià)格低廉、接口

17、簡單、轉(zhuǎn)換控制容易等優(yōu)點(diǎn),而得到了廣泛的應(yīng)用7。DAC0832的主要參數(shù)有:1.分辨率為8位。2.轉(zhuǎn)換時(shí)間為1us。3.滿量程誤差為1LSB。4.參考電壓為-10+10V。5.供電電源為+5+15V。6.邏輯電平輸入與TTL兼容。它的部邏輯構(gòu)造如圖2.6所示:圖2.6 DAC0832部邏輯構(gòu)造DAC0832引腳排列如圖2.7所示:圖2.7DAC0832引腳排列CS:片選信號(hào)輸入線選通數(shù)據(jù)鎖存器,低電平有效。WR1:數(shù)據(jù)鎖存器寫選通輸入線,負(fù)脈沖脈寬應(yīng)大于500ns有效。AGND:模擬信號(hào)地。D0D7:8位數(shù)據(jù)輸入線。VREF:基準(zhǔn)電壓輸入線,圍為-10V+10V。Rfb:反應(yīng)信號(hào)輸入線,可通過

18、改變Rfb端外接電阻值來調(diào)整轉(zhuǎn)換滿量程精度。DGND:數(shù)字信號(hào)地。IOUT1:電流輸出端1,其值隨DAC存放器的容線性變化。IOUT2:電流輸出端2,其值與IOUT1值之和為一常數(shù)。*FER:數(shù)據(jù)傳輸控制信號(hào)輸入線,低電平有效。WR2:DAC存放器選通輸入線,負(fù)脈沖脈寬應(yīng)大于500ns有效。ILE:數(shù)據(jù)鎖存允許控制信號(hào)輸入線,高電平有效。VCC:電源輸入端,圍為+5V+15V。本實(shí)驗(yàn)用的是+5V。DAC0832的輸出放大和濾波電路采用TL082芯片搭建。TL082是一通用J-FET雙運(yùn)算放大器。它的部構(gòu)造和引腳排列如圖2.8所示8:圖2.8 TL082部構(gòu)造和引腳排列TL082為8引腳雙列直插

19、式封裝,各引腳含義如下:1Output 1輸出1;2Inverting input 1反向輸入1;3Non-inverting input 1正向輸入1;4Vcc-電源-12V;5Non-inverting input 2正向輸入2;6Inverting input 2反向輸入2;7Output 2輸出2;8Vcc+電源+12V。系統(tǒng)的數(shù)據(jù)輸出電路局部原理圖如圖2.9所示:圖2.9 系統(tǒng)數(shù)據(jù)輸出模塊電路2.1.4 按鍵控制模塊系統(tǒng)采用兩個(gè)按鍵開關(guān)設(shè)計(jì)正/負(fù)電平輸入信號(hào)電路,作按鍵控制模塊。一個(gè)按鍵控制CLR,另一個(gè)按鍵控制WREN。兩個(gè)按鍵開關(guān)電路如圖2.10所示:圖2.10 系統(tǒng)按鍵控制模塊

20、電路系統(tǒng)實(shí)物如圖2.11所示:圖2.11 系統(tǒng)實(shí)物圖在畫PCB的時(shí)候,用大面積覆銅,有助于美觀和節(jié)約實(shí)驗(yàn)器材。系統(tǒng)PCB圖見附錄一。2.2 軟件設(shè)計(jì)2.2.1 ADCINT設(shè)計(jì)ADCINT是控制0809的采樣狀態(tài)機(jī)。由ADC0809驅(qū)動(dòng)程序生成的原理圖如圖2.12所示:圖2.12 ADCINTADCINT仿真圖如圖2.13所示:圖2.13 ADCINT仿真圖2.2.2 T10B設(shè)計(jì)T10B中有一個(gè)用于RAM的9位地址計(jì)數(shù)器,它的工作時(shí)鐘CLK0由WREN控制:當(dāng)WREN=1時(shí),CLK0=LOCK0,LOCK0來自于ADC0809采樣控制器,這時(shí)處于采樣允許階段,RAM的地址鎖存時(shí)鐘incloc

21、k=CLKOUT=LOCK0;這樣每當(dāng)一個(gè)LOCK0的脈沖通過ADC0809時(shí)采到一個(gè)數(shù)據(jù),并將它存入RAM中。當(dāng)WREN=0時(shí),采樣制止,允許讀出RAM中的數(shù)據(jù)。把示波器接到DAC0832的輸出端就能看到波形。T10B原理圖如圖2.14所示:圖2.14 T10B2.2.3 RAM8設(shè)計(jì)RAM8是LAM_RAM,它有8位數(shù)據(jù)線和9位地址線。WREN是寫時(shí)能,高電平有效。RAM8原理圖如圖2.15所示:圖2.15 RAM82.2.4時(shí)鐘控制設(shè)計(jì)由芯片EP2C8Q208C8N產(chǎn)生的20MHz的時(shí)鐘做輸入,經(jīng)過分頻以后,一路輸出與芯片EP2C8Q208C8N的169引腳相連的500KHz的時(shí)鐘,另一

22、路則輸出給ADC0809供電的10KHz的時(shí)鐘。時(shí)鐘控制原理圖如圖2.16所示:圖2.16 時(shí)鐘控制2.2.5系統(tǒng)頂層設(shè)計(jì)系統(tǒng)頂層原理框圖如圖2.17所示,圖中D為8位數(shù)據(jù)輸入,CLK為系統(tǒng)時(shí)鐘輸入信號(hào)頻率,由系統(tǒng)時(shí)鐘信號(hào)輸入電路控制。Q為RAM8的8位輸出,與DAC0832相接。圖2.17 系統(tǒng)頂層原理框圖系統(tǒng)頂層仿真圖如圖2.18所示:圖2.18 系統(tǒng)頂層仿真圖系統(tǒng)引腳鎖定示意圖如圖2.19所示:圖2.19系統(tǒng)引腳連接圖系統(tǒng)各模塊VHDL程序見附錄二。第三章 系統(tǒng)軟硬件調(diào)試根據(jù)系統(tǒng)總體要求,把寫好的VHDL程序進(jìn)展引腳鎖定,綜合,適配,編程下載,調(diào)試。將線性電源模塊、數(shù)據(jù)采集模塊、FPGA

23、模塊、數(shù)據(jù)輸出模塊及按鍵控制模塊連接好,時(shí)鐘頻率由系統(tǒng)時(shí)鐘信號(hào)輸入電路提供,然后通過JTAG下載模式在線將生成的配置文件寫入芯片中,如圖3.1所示:圖3.1 程序下載通過反復(fù)調(diào)試、修改、功能驗(yàn)證確認(rèn)無誤后,用示波器探頭接DAC0832輸出端。測得的實(shí)驗(yàn)數(shù)據(jù)見表1:表1 系統(tǒng)測試數(shù)據(jù)輸入波形的頻率輸出波形的頻率50.050Hz50.031 Hz99.630 Hz99.611 Hz113.77 Hz113.40 Hz150.24 Hz150.29 Hz199.18 Hz199.22 Hz250.61 Hz250.53 Hz300.54 Hz300.59 Hz350.96 Hz351.03 Hz40

24、7.50 Hz407.40 Hz測得的數(shù)據(jù)圍從50.050 Hz到407.50 Hz,平均相對(duì)誤差為0.0039,具有較高的精度,根本到達(dá)了設(shè)計(jì)要求。結(jié)論本設(shè)計(jì)從可編程邏輯器件FPGA著手,用VHDL語言,結(jié)合ADC0809、DAC0832、TL082等芯片實(shí)現(xiàn)了數(shù)據(jù)采集與輸出。首先通過對(duì)數(shù)據(jù)采集原理進(jìn)展分析,總體上提出實(shí)現(xiàn)數(shù)據(jù)采集與輸出方案,通過T10B和RAM8等模塊的設(shè)計(jì),用FPGA實(shí)現(xiàn)了數(shù)據(jù)的采集與輸出,并完成了軟硬件設(shè)計(jì)和調(diào)試。其放大電路和濾波電路用的芯片是TL082,其放大倍數(shù)適宜,低通濾波性能較好,輸出波形較為平滑。同時(shí),設(shè)計(jì)中還存在一些缺乏之處,主要表現(xiàn)在以下幾個(gè)方面。第一,外

25、圍電路的數(shù)據(jù)采集模塊不夠理想,成為影響波形輸出的主要因素,可以用更好的AD芯片。第二,濾波局部可以找到更適宜的濾波器件,以提高波形的平滑度。第三,RAM8采用8位,針對(duì)輸出平坦度不夠的問題,可以通過軟硬件修正的方法來解決,可以擴(kuò)大ROM的容量。致首先要感指導(dǎo)教師曾永西的嚴(yán)格指導(dǎo)和親切關(guān)心,從一開場選題方向的指導(dǎo),以及VHDL程序上的幫助,又提供了實(shí)驗(yàn)室這么好的良好的設(shè)計(jì)環(huán)境和條件,最終才使我能夠順利完成工程的設(shè)計(jì),曾教師兢兢業(yè)業(yè)的工作精神、踏實(shí)真誠的處事態(tài)度也讓我受益匪淺。值此成文之際,我向曾教師表示衷心的感。同時(shí)也感同組的同學(xué)以及我們專業(yè)其他同學(xué),此次設(shè)計(jì)的順利完成少不了你們的毫無保存幫助和

26、傾盡全力的支持,在此我衷心感你們。由于自身水平有限,設(shè)計(jì)中難免存在一些缺乏之處,敬請(qǐng)各位教師批評(píng)指正。參考文獻(xiàn)1松,黃繼業(yè).EDA技術(shù)實(shí)用教程第三版M.:科學(xué),2006:1-22 曙光,郭萬有.可編程邏輯器件原理、開發(fā)與應(yīng)用M.:電子科技大學(xué),2000:80-853.altera./literature/lit-cyc2.jsp4 甘歷.VHDL應(yīng)用與開發(fā)實(shí)踐M.:科技,2003:50-515 *志軍.大規(guī)??删幊踢壿嬈骷捌鋺?yīng)用M.:電子科技大學(xué),20006 程佩清.數(shù)字信號(hào)處理教程M.:清華大學(xué),20017 王金明.數(shù)字系統(tǒng)設(shè)計(jì)與 Verilog HDLM.:電子工業(yè),20018 UweM

27、eyer-Baese.數(shù)字信號(hào)處理的FPGA實(shí)現(xiàn)M.:清華大學(xué),2002英文翻譯Digital acquisition system circuit based on FPGAPhysics and information engineering collegeElectronic information science and technology major070303029 Borui Lifaculty adviser Yong*i Zeng lecturer【Abstract】:This paper introduces the working principle and desig

28、n process of the data acquisition system circuit based on FPGA. According to the principle of data collection technology, Altera pany in EP2C8Q208C8N chip in for the core device, collect data by the ADC0809 output data by the DAC0832, through the VHDL language programming plete simple oscilloscope s

29、oftware design, pilation, debugging, simulation and download and peripheral hardware circuit, and bining the final design debugging, data acquisition system circuit in Quartus II platform.【key words】: FPGA;Quartus II;VHDL;data acquisition附錄一 線性電源、FPGA外圍電路和FPGA最小系統(tǒng)連接口PCB線性電源FPGA外圍電路和FPGA最小系統(tǒng)連接口附錄二系統(tǒng)各

30、模塊VHDL程序時(shí)鐘控制的VHDL源程序:library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity clk_b is port(clk: in std_logic; clk500K,clk10K: out std_logic);end entity; architecture behave of clk_b is signal clk1,clk2: std_logic;signal temp1: integer range 0

31、to 49; signal temp2: integer range 0 to 2499;begin process(clk)-500kHZbeginif clkevent and clk=1 thentemp1=temp1+1;if temp1=24 thenclk1=not clk1;temp1=0;end if; end if;end process;process(clk)-10kHZbeginif clkevent and clk=1 thentemp2=temp2+1;if temp2=1249 thenclk2=not clk2;temp2=0;end if; end if;en

32、d process;clk500K = clk1; clk10K = clk2;end behave;ADCINT的VHDL源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY ADCINT IS PORT(D : IN STD_LOGIC_VECTOR(7 DOWNTO 0); -來自0809轉(zhuǎn)換好的8位數(shù)據(jù)CLK : IN STD_LOGIC; -狀態(tài)機(jī)工作時(shí)鐘EOC : IN STD_LOGIC; -轉(zhuǎn)換狀態(tài)指示,低電平表示正在轉(zhuǎn)換ALE : OUT STD_LOGIC; -8個(gè)模擬信號(hào)通道地址鎖存信號(hào)START : OUT STD_LO

33、GIC; -轉(zhuǎn)換開場信號(hào)OE : OUT STD_LOGIC; -數(shù)據(jù)輸出3態(tài)控制信號(hào)ADDA : OUT STD_LOGIC; -信號(hào)通道最低位控制信號(hào)LOCK0 : OUT STD_LOGIC; -觀察數(shù)據(jù)鎖存時(shí)鐘Q : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); -8位數(shù)據(jù)輸出END ADCINT;ARCHITECTURE behav OF ADCINT ISTYPE states IS (st0, st1, st2, st3,st4) ; -定義各狀態(tài)子類型 SIGNAL current_state, ne*t_state: states :=st0 ; SIG

34、NAL REGL : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL LOCK : STD_LOGIC; - 轉(zhuǎn)換后數(shù)據(jù)輸出鎖存時(shí)鐘信號(hào) BEGINADDA = 0;-當(dāng)ADDA=0,模擬信號(hào)進(jìn)入通道IN0;當(dāng)ADDA=1,則進(jìn)入通道IN1Q = REGL; LOCK0 ALE=0;START=0;LOCK=0;OE=0; ne*t_state ALE=1;START=1;LOCK=0;OE=0; ne*t_state ALE=0;START=0;LOCK=0;OE=0; IF (EOC=1) THEN ne*t_state = st3; -EOC=1說明轉(zhuǎn)換完畢 E

35、LSE ne*t_state ALE=0;START=0;LOCK=0;OE=1; ne*t_state ALE=0;START=0;LOCK=1;OE=1; ne*t_state ne*t_state = st0; END CASE ; END PROCESS ; REG: PROCESS (CLK) BEGIN IF (CLKEVENT AND CLK=1) THEN current_state=ne*t_state; END IF; END PROCESS REG ; - 由信號(hào)current_state將當(dāng)前狀態(tài)值帶出此進(jìn)程:REG LATCH1: PROCESS (LOCK) - 此

36、進(jìn)程中,在LOCK的上升沿,將轉(zhuǎn)換好的數(shù)據(jù)鎖入 BEGIN IF LOCK=1 AND LOCKEVENT THEN REGL = D ; END IF; END PROCESS LATCH1 ; END behav;T10B的VHDL源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY T10B IS PORT (LOCK0,CLR : IN STD_LOGIC; CLK : IN STD_LOGIC; WE : IN STD_LOGIC; DOUT : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); CLKOUT : OUT STD_LOGIC ); END T10B;ARCHITECTURE behav OF T10B IS SIGN

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論