2004下學(xué)期B卷答卷_第1頁
2004下學(xué)期B卷答卷_第2頁
2004下學(xué)期B卷答卷_第3頁
2004下學(xué)期B卷答卷_第4頁
2004下學(xué)期B卷答卷_第5頁
已閱讀5頁,還剩6頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

/? 杭州電子科技大學(xué)學(xué)生考試卷(B)卷考試課程EDA技術(shù)與VHDL考試日期2005年月日成績參考答卷課程號教師號任課教師姓名考生姓名學(xué)號(8位)年級專業(yè)一、單項(xiàng)選擇題:(20分)IP核在EDA技術(shù)和開發(fā)中具有十分重要的地位;提供用VHDL等硬件描述語言描述的功能塊,但不涉及實(shí)現(xiàn)該功能塊的具體電路的IP核為__(dá)__(dá)______(dá)。AA。軟IPB.固IPC。硬IPD。都不是綜合是EDA設(shè)計(jì)流程的關(guān)鍵步驟,在下面對綜合的描述中,___(dá)______是錯(cuò)誤的。D綜合就是把抽象設(shè)計(jì)層次中的一種表示轉(zhuǎn)化成另一種表示的過程;綜合就是將電路的高級語言轉(zhuǎn)化成低級的,可與FPGA/CPLD的基本結(jié)構(gòu)相映射的網(wǎng)表文件;為實(shí)現(xiàn)系統(tǒng)的速度、面積、性能的要求,需要對綜合加以約束,稱為綜合約束;綜合可理解為,將軟件描述與給定的硬件結(jié)構(gòu)用電路網(wǎng)表文件表示的映射過程,并且這種映射關(guān)系是唯一的(即綜合結(jié)果是唯一的)。大規(guī)??删幊唐骷饕蠪PGA、CPLD兩類,下列對FPGA結(jié)構(gòu)與工作原理的描述中,正確的是__C__。FPGA是基于乘積項(xiàng)結(jié)構(gòu)的可編程邏輯器件;FPGA是全稱為復(fù)雜可編程邏輯器件;基于SRAM的FPGA器件,在每次上電后必須進(jìn)行一次配置;在Altera公司生產(chǎn)的器件中,MAX7000系列屬FPGA結(jié)構(gòu)。進(jìn)程中的變量賦值語句,其變量更新是___(dá)____(dá)__(dá)。A立即完成;按順序完成;在進(jìn)程的最后完成;都不對.VHDL語言是一種結(jié)構(gòu)化設(shè)計(jì)語言;一個(gè)設(shè)計(jì)實(shí)體(電路模塊)包括實(shí)體與結(jié)構(gòu)體兩部分,結(jié)構(gòu)體描述___(dá)____(dá)____(dá)。D器件外部特性;器件的綜合約束;器件外部特性與內(nèi)部功能;器件的內(nèi)部功能.不完整的IF語句,其綜合結(jié)果可實(shí)現(xiàn)________(dá).A?A。時(shí)序邏輯電路?? B。組合邏輯電路?C.雙向電路?? ?D.三態(tài)控制電路子系統(tǒng)設(shè)計(jì)優(yōu)化,主要考慮提高資源利用率減少功耗(即面積優(yōu)化),以及提高運(yùn)行速度(即速度優(yōu)化);指出下列哪些方法是面積優(yōu)化_______(dá)__。B ①流水線設(shè)計(jì) ②資源共享 ③邏輯優(yōu)化 ④串行化?⑤寄存器配平?⑥關(guān)鍵路徑法A.①③⑤ ??B.②③④C。②⑤⑥ ? ??D.①④⑥下列標(biāo)識(shí)符中,__________是不合法的標(biāo)識(shí)符.BA。State0? B.9moon?C.Not_Ack_0 D。signall關(guān)于VHDL中的數(shù)字,請找出以下數(shù)字中最大的一個(gè):____(dá)____(dá)__。A2#1111_1110#8#276#10#170#16#E#E110.下列EDA軟件中,哪一個(gè)不具有邏輯綜合功能:____(dá)____。BMax+PlusIIModelSimQuartusIISynplify第1頁共5頁二、EDA名詞解釋,寫出下列縮寫的中文(或者英文)含義:(10分)VHDL超高速集成電路硬件描述語言FPGA現(xiàn)場可編程門陣列RTL 寄存器傳輸級SOPC可編程片上系統(tǒng)EAB嵌入式陣列塊三、VHDL程序填空:(10分)下面程序是參數(shù)可定制帶計(jì)數(shù)使能異步復(fù)位計(jì)數(shù)器的VHDL描述,試補(bǔ)充完整?!?N-bitUpCounterwithLoad,CountEnable,and--AsynchronousResetlibraryiee(cuò)e;useIEEE.std_logic_1164.all;useIEEE。std_logic_unsigned。all;useIEEE.std_logic_arith.all;entitycounter_nis?generic(width:integer:=8);?port(dat(yī)a:instd_logic_vector(width-1downto0);? load,en,clk,rst:instd_logic;??q:outstd_logic_vector(width—1downto0));endcounter_n;architecturebehaveofcounteris?signalcount:std_logic_vector(width—1downto0);?begin?process(clk,rst) ?begin ?ifrst='1’then ?count〈=(others=〉‘0’);――清零??elsifclk'eventandclk=‘1’then――邊沿檢測? ifload=’1’then ???count〈=data;? elsifen='1'then ???count<=count+1;???endif;??endif; endprocess; q<=count;?endbehave;四、VHDL程序改錯(cuò):(10分)仔細(xì)閱讀下列程序,回答問題1?LIBRARYIEEE;2?USEIEEE。STD_LOGIC_1164.ALL;3?4?ENTITYCNT10IS5 PORT(CLK:INSTD_LOGIC;6 Q:OUTSTD_LOGIC_VECTOR(3DOWNTO(shè)0));7?ENDCNT10;8 ARCHITECTUREbhvOFCNT10IS9 SIGNALQ1:STD_LOGIC_VECTOR(3DOWNTO0);10 BEGIN11 PROCESS(CLK)BEGIN12 IFRISING_EDGE(CLK)begin13 IFQ1<9THEN14 Q1<=Q1+1;15?ELSE16 Q1<=(OTHERS=〉’0');17?ENDIF;18 ENDIF;19?ENDPROCESS;20 Q<=Q1;21?ENDbhv;1.在MAX+PlusII中編譯時(shí),提示的第一條錯(cuò)誤為:Error:Line12:Filee(cuò):\mywork\test\cnt10.vhd:VHDLsyntaxerror:IfstatementmusthaveTHEN,butfoundBEGINinstead指出并修改相應(yīng)行的程序(如果是缺少語句請指出大致的行數(shù)):錯(cuò)誤1?行號:12程序改為:IFRISING_EDGE(CLK)THEN錯(cuò)誤2 行號:3程序改為:USEIEEE.STD_LOGIC_UNSIGNED.ALL;12行if語句配套關(guān)鍵字是then而非begin3行程序中使用了+號重載函數(shù),應(yīng)包含使用對應(yīng)程序包iee(cuò)e.std_logic_unsigned。all2.若編譯時(shí)出現(xiàn)如下錯(cuò)誤,請分析原因。當(dāng)前編譯的程序文件沒有放在指定文件夾內(nèi),所以系統(tǒng)找不到WORK工作庫。第2頁共5頁五、VHDL程序設(shè)計(jì):(15分)設(shè)計(jì)一數(shù)據(jù)選擇器MUX,其系統(tǒng)模塊圖和功能表如下圖所示.試采用下面三種方式中的兩種來描述該數(shù)據(jù)選擇器MUX的結(jié)構(gòu)體。(a)用if語句.(b)用case語句。(c)用whenelse語句.Libraryieee(cuò);Useieee.std_logic_1164。all;Entitymymuxis?Port(?sel:instd_logic_vector(1downto0); ? —-選擇信號輸入 Ain,Bin:instd_logic_vector(1downto0);?--數(shù)據(jù)輸入 ? Cout:outstd_logic_vector(1downto0));Endmymux;ArchitectureoneofmymuxisBegin Process(sel,ain,bin)?Begin Ifsel=“00”thencout<=ainorbin; Elsifsel=“01”thencout<=ainxorbin;? Elsifsel=“10"thencout〈=ainandbin;? Elsecout〈=ainnorbin; Endif;?Endprocess;Endone;ArchitecturetwoofmymuxisBegin Process(sel,ain,bin) Begin??Caseselis? when“00”=〉cout<=ainorbin;? when“01"=>cout<=ainxorbin; ?when“10”=>cout<=ainandbin;??whenothers=〉cout<=ainnorbin; Endcase; Endprocess;Endtwo;ArchitecturethreeofmymuxisBegin?Cout<=ainorbinwhensel=“00"else ??Ainxorbinwhensel=“01”else ? Ainandbinwhensel=“10"elseainnorbin;Endthree;六、根據(jù)原理圖寫出相應(yīng)的VHDL程序:(15分)Libraryieee;Useieee。std_logic_1164。all;Entitymyciris?Port(din,clk:instd_logic; Qout:outstd_logic);Endmycir;Architecturebehaveofmyciris Signala,b,c;Begin Qout<=cnand(axorb);?Process(clk)?Begin? Ifclk’eventandclk=‘1’then ?A<=din; ? B〈=A;? C<=B;??Endif;?Endprocess;Endbehave;第3頁共5頁七、綜合題:(20分)(一)已知狀態(tài)機(jī)狀態(tài)圖如圖a所示;完成下列各題:圖a狀態(tài)圖圖b狀態(tài)機(jī)結(jié)構(gòu)圖試判斷該狀態(tài)機(jī)類型,并說明理由.?該狀態(tài)機(jī)為moore型狀態(tài)機(jī),輸出數(shù)據(jù)outa和輸入ina沒有直接邏輯關(guān)系,outa是時(shí)鐘clk的同步時(shí)序邏輯。根據(jù)狀態(tài)圖,寫出對應(yīng)于結(jié)構(gòu)圖b,分別由主控組合進(jìn)程和主控時(shí)序進(jìn)程組成的VHDL有限狀態(tài)機(jī)描述。Libraryieee;Useieee(cuò)。std_logic_1164.all;Entitymoorebis?Port(clk,reset:instd_logic;????Ina:instd_logic_vector(1downto0);?? Outa:outstd_logic_vector(3downto0));Endmooreb;Architectureoneofmoorebis?Typems_stateis(st0,st1,st2,st3); Signalc_st,n_st:ms_state;Begin?Process(clk,reset) Begin? Ifreset=‘1’thenc_st<=st0;??Elsifclk’eventandclk=‘1'thenc_st〈=n_st;? Endif; Endprocess;?Process(c_st)?Begin ?Casec_stis??Whenst0=>ifina=“00"thenn_st〈=st0;?? ??Elsen_st<=st1;? ?Endif; ?Outa<=“0101";??Whenst1=〉ifina=“00”thenn_st<=st1;? ??Elsen_st<=st2; Endif;?? ?Outa〈=“1000”; ?Whenst2=>ifina=“11"thenn_st<=st0;?????Elsen_st〈=st3; ? ?Endif; ? Outa<=“1100”; Whenst3=〉ifina=“11"thenn_st〈=st3;?? ?Elsen_st<=st0; ?? Endif;???? Outa<=“1101”; ?Whenothers=>n_st〈=st0; ?Endcase;?Endprocess;Endone;若已知輸入信號如下圖所示,分析狀態(tài)機(jī)的工作時(shí)序,畫出該狀態(tài)機(jī)的狀態(tài)轉(zhuǎn)換值(c_stat(yī)e)和輸出控制信號(out_a);若狀態(tài)機(jī)仿真過程中出現(xiàn)毛刺現(xiàn)象,應(yīng)如何消除;試指出兩種方法,并簡單說明其原理。方法1,添加輔助進(jìn)程對輸出數(shù)據(jù)進(jìn)行鎖存方法2,將雙進(jìn)程狀態(tài)機(jī)改寫為單進(jìn)程狀態(tài)機(jī),其輸出也是鎖存過了,故能消除毛刺方法3,使用狀態(tài)位直接輸出型狀態(tài)機(jī)編碼方式,其輸出直接由當(dāng)前狀態(tài)輸出,也沒有毛刺第4頁共5頁(二)已知一個(gè)簡單的波形發(fā)生器的數(shù)字部分系統(tǒng)框圖如下圖所示圖中l(wèi)cnt、lrom都是在MAX+PlusII中使用MegaWizard調(diào)用的LPM模塊,其VHDL描述中Entity部分分別如下:ENTITYlcntIS?PORT ( clock :INSTD_LOGIC;? q??:OUTSTD_LOGIC_VECTOR(9DOWNTO0) );ENDlcnt;ENTITYlromIS PORT?(? address? :INSTD_LOGIC_VECTOR

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論