




版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領
文檔簡介
ADVANCEDASICCHIPSYNTHESIS提綱綜合的定義ASICdesignflowSynopsysDesignCompiler的介紹SynopsystechnologylibraryLogicsynthesis的過程Synthesis和layout的接口——LTLPost_layoutoptimizationSDF文件的生成綜合的定義邏輯綜合:決定設計電路邏輯門的相互連接。邏輯綜合的目的:決定電路門級結構、尋求時序和與面積的平衡、尋求功耗與時序的平衡、增強電路的測試性。邏輯綜合的過程:首先,綜合工具分析HDL代碼,用一種模型(GTECH),對HDL進行映射,這個模型是與技術庫無關的;然后,在設計者的控制下,對這個模型進行邏輯優(yōu)化;最后一步,進行邏輯映射和門級優(yōu)化,將邏輯根據(jù)約束,映射為專門的技術目標單元庫(targetcelllibrary)中的cell,形成了綜合后的網(wǎng)表。ASICdesignflow
VerifiedRTLDesignConstraintsIPandLibraryModelsLogicSynthesisoptimization&scaninsertionStaticTimingAnalysisFormalverificationFloorplanplacement,CTInsertion&GlobalroutingTransferclocktreetoDCPostglobalrouteStaticTimingAnalysisDetailroutingPost-layoutOptimization(in-placeoptimization(IPO))StaticTimingAnalysisTapeoutTimeok?Timeok?nonoTimeok?noASICdesignflow設計舉例,tap控制器,已完成代碼編寫及功能仿真:Tap_controller.vTap_bypass.vTap_instruction.vTap_state.v完成全部設計還需經(jīng)過如下幾個步驟:Pre_layoutSynthesisSTAusingPrimeTimeSDFgenerationVerificationFloorolanningandRoutingPost_layout反標來自layouttool的信息,STAusingPrimeTimePost-layoutOptimizationFixHold-TimeViolationASICdesignflowInitialSetup:建立設計環(huán)境,技術庫文件及其它設計環(huán)境設置。
DC.synopsys_dc.setup文件
company=“ztecorporation”;designer=“name”;technology=“0.25micron”search_path=search_path+{“.”“/usr/golden/library/std_cells”\“/usr/golden/library/pads”}target_library={std_cells_lib.db}link_library={“*”,std_cells_lib.db,pad_lib.db}symbol_library={std_cells.sdb,pad_lib.sdb}ASICdesignflowSynthesis:利用約束完成設計的門及實現(xiàn)及掃描插入Constrainscripts/*Createrealclockifclockportisfound*/if(find(port,clk)=={"clk"}){clk_name=clkcreate_clock-periodclk_periodclk}/*Createvirtualclockifclockportisnotfound*/if(find(port,clk)=={}){clk_name=vclkcreate_clock-periodclk_period-namevclk}ASICdesignflowConstrainscripts(續(xù))
/*ApplydefaultdrivestrengthsandtypicalloadsforI/Oports*/set_load1.5all_outputs()set_driving_cell-cellIVall_inputs()/*Ifrealclock,setinfinitedrivestrength*/if(find(port,clk)=={"clk"}){set_drive0clk}/*Applydefaulttimingconstraintsformodules*/set_input_delay1.2all_inputs()-clockclk_nameset_output_delay1.5all_outputs()-clockclk_nameset_clock_skew-minus_uncertainty0.45clk_name/*Setoperatingconditions*/set_operating_conditionsWCCOM/*TurnonAutoWireloadselectionLibrarymustsupportthisfeature*/auto_wire_load_selection=trueASICdesignflowCompileandscaninsert的scripts,采用bottom_up的編譯方法set_fix_multiple_port_net–buffer_constants–allcompile–scancheck_testcreate_test_pattern–sample10preview_scaninsert_scancheck_test如果模塊內(nèi)的子模塊具有dont_touch屬性需添加如下命令,因要插入掃描remove_attributefind(-hierarchydesign,”*”)dont_touchWritenetlistremove_unconnected_portsfind(-hierarchycell,”*”)change_names–hierarchy–rulesBORGset_dont_touchcurrent_designwrite–herarchy–outputactive_design+”.db”write–formatverilog–hierarchy–outputactive_design+”.sv”ASICdesignflowPre_layout的STA:用DC的靜態(tài)時序分析引擎做block的STA,用PrimeTime做full_chip的STA。Setup_time分析Hold_time分析其時序約束和提供給DC做邏輯綜合的約束相同。靜態(tài)時序分析同綜合一樣,是一個迭代的過程,和cell的位置及布線關系密切,通常都執(zhí)行多次,直到滿足需要。ASICdesignflowSDFgeneration,pre_layout的SDF文件,用于pre_layout
timing仿真,同時還需提供時序約束文件(SDF格式)給layouttool做布局布線。script文件如下:
active_design=tap_controller
readactive_designcurrent_designactive_designlinkCreate_clock–period33–waveform{016.5}tckSet_dont_touch_network{tcktrst}
set_clock_skew–delay2.0–minus_uncertainty3.0tckset_driving_cell–cellBUFF1X–pinZall_inputs()set_drive0{tcktrst}set_input_delay20.0–clocktck–maxall_inputs()set_output_delay10.0–clocktck–maxall_outputs()write_timing–formatsdf-v2.1\-outputactive_design+”.sdf”write_constraints–formatsdf–cover_design\-outputconstraints.sdfASICdesignflowVerification利用SDF文件進行動態(tài)時序仿真:利用功能仿真時。用verilog編寫的test_bench文件形式驗證:利用數(shù)學算法檢查設計的邏輯的等效性,靜態(tài)驗證,需要的時間比動態(tài)仿真少,驗證全面。在這里驗證RTL描述與gate_level網(wǎng)表的邏輯等效性。FloorolanningandglobalRouting;Estimated寄生電容和RCdelay的抽??;利用抽取的參數(shù)靜態(tài)時序分析,若時序不滿足要求,生成customwire_load做incrementalsynthesis即post_layout的優(yōu)化,采用reoptimize_design–in_place命令,其script文件需反標抽取的參數(shù)到設計;Detailrouting;real寄生電容和RCdelay的抽??;利用抽取的參數(shù)靜態(tài)時序分析,修正hold_time如需要做post_layout的優(yōu)化;生成post_layout的SDF文件,做gate_level仿真驗證;ASICdesignflowECOEngineeringchangeorder,不屬于正常的ASIC流程,一般只有在ASIC設計的后期,需要改變網(wǎng)表,可利用ECO,例如,在tape-out(sign-off)以后,遇見設計的硬件bug。采用ECO可僅對設計的一小部分重新布線不影響chip其他部分的位置及時序,通常,修改不能大于10%。最新版本的DC提供由ECOcompiler,可使設計者手工修改網(wǎng)表,節(jié)省時間。一些layout工具也具有ECO功能。DC介紹SynopsysDesignCompiler,是一個基于UNIX系統(tǒng),通過命令行進行交互的綜合工具,除了綜合之外,它還含有一個靜態(tài)時序分析引擎及FPGA和LTL(links-to-layout)的解決方案。我們就以下幾個方面對DC做以介紹:script文件:由DC的命令構成,可使DC自動完成綜合的整個過程。DC支持的對象、變量、屬性DC支持的文件格式及類型DC在HDL代碼中的編譯開關,控制綜合過程Translate_off/translate_on:指示DC終止或開始verilog源代碼轉(zhuǎn)換的位置。full_case:阻止case語句在不完全條件下生成latch。Script文件Script文件Script文件的構成DC綜合環(huán)境設置(.synopsys_dc.setup);屬性和約束信息;綜合命令(read,compile);控制流命令;Conditional:Looping:if(expr){foreach(variable,list){[dc_shellcommands][dc_shellcommands]}else{}[dc_shellcommands]while(expr){}[dc_shellcommands]
Script文件的檢查:DC提供syntaxandcontextcheckers來檢查Script文件的錯誤DC支持款的對悶象、汽變量率、屬靈性對象柿,DC將設展計對痕象分慕為8淚類,崖分別研如下像:De果si貧gn推:具有鑰某種份或多螺種邏棉輯功嫂能的飛電路蓋描述像;Ce區(qū)ll嗓:設計到的in工st沫an距ce膨;Re維fe循re軌nc蔽e:梢ce豪ll或in最st全an懲ce在庫醉中定慕義的英名字顛;Po旅rt譜:d飯es老ig窗n的輸嫂入、漂輸出切;Pi聯(lián)n:鐘de般si晌gn中ce焦ll的輸虜入、漫輸出雨;Ne胡t:享po愿rt蜓s和pi釘ns之間鏟或pi捷ns之間練的信爛號名舉;Cl崖oc育k:被定莊義為揀時鐘洽源的pi項n或po旅rt玩;Li屋br愛ar藝y:脊ce銹ll的集保合,沃如:ta助rg告et款_l例ib杏ra綱ry敲,l生in磁k_市l(wèi)i逗br悶ar崇y;DC支持籍的對袋象、葉變量慢、屬融性例如盜:DC支持姑的對性象、容變量巨、屬丘性對象治的查疲找命歸令格名式fi嶼nd紹<卻ty助pe踢>拖<n痕am叛e橡li臘st高>走-h桑ie辣ra渠rc非hyty釘pe突:D搭C支持姓的所夠有對今象;na爪me種l靜is技t:設計羨對象腎或庫董對象掃的清籌單;-h度ie瘋ra汁rc稿hy條:用于居在設葬計的櫻各層罵次尋皂找的京對象假;該命氣令的命返回旁值為Li刮st摘,l灑is歡t:一種尸字符沿串類飽型,此例如劣:my枝li副st={騰el味1嫁el殃2殿el念3}例如凱:DC支持錯的對里象、怪變量擁、屬僻性變量債,一撿種標璃識符菌,DC用于涼存儲胡信息文,可柱做為酸命令酬的操彎作參坦數(shù)。DC預定濕義的欺變量賤,可振用它兇獲得柴綜合脆過程伐中的流有關父信息莊,如dc纖_s羅he段ll眨_s載ta慈tu隨s;DC預定鍛義的陜變量噴總有任一個捆缺省鉗值,圖用戶蟲可定踩義另逼外的良值,浪如;dc墓_s旺he趁ll約>vh助dl選ou士t_u擁se晝_p究ac避ka遙ge朱={脅li晚br過ar苗y姑IE猴EE棕.s軍td勻_l制og堡ic艘_1曾16傍4;驗\li責br適ar絮y予ST信D_前LI碰B;誼}用戶夠定義森的變鈴量,烤用于江自動刪化綜棗合的放過程躍。如課,可反定義灣一個糊變量采,存銜儲某餃個時曲鐘域危的fl魚op的個歸數(shù)。定義脫變量繞:dc造_s辨he亂ll圾>d碎es沫ig瓶ne附r=漆“my逝na塑me”;獲得UN允IX的環(huán)敘境變剪量:dc散_s精he忙ll騎>d元es激ig眨ne佩r=登ge享t_un黃ix_v遠ar府ia錄bl農(nóng)e(重“U屬SE鍵R”歸)顯示蜜變量咸的值茄:dc斷_s乳he能ll考>L議is掛t醉ta附rg辜et壞_l葉ib報ra環(huán)ryta或rg爺et炮_l希ib拔ra楚ry醫(yī)=“cb廊ac勇or放e.d芒b”列出澆所有凱的變男量:dc伏_s攤he撈ll漏>L荒is騎t寺–錄va純ri羅ab些le回a楚ll移去例變量桃:dc妄_s抄h(huán)e貪ll界>鑼re秀mo適ve激_述va戒ri攤ab偏le刪d穿es謹ig糖ne軌r,變量鮮沒有戴移去并之前攜,不絮得重氣新定嫂義。注:您變量盼值不豪會被潑保存扇在設映計數(shù)票據(jù)庫叫中。DC支持款的對權象、語變量血、屬厘性屬性照,DC用于跟存儲膚具體護的設卻計對酬象,隨如:ne類ts敗,c鋒el狼ls處,c禽lo米ck臟s的信夕息,狼一般己來說藝,屬鑄性都誘是DC預定攪義,堵命令瓦如下:se促t_斧at通tr繡ib悉ut掀e糕<o直bj垃ec可t攤li柜st覆>派g啊et肆_a牛tt兩ri孔bu更te漂<昨ob缸je絕ct怒l不is卸t><a銜tt頸ri幣bu異te繼n乓am斜e>專<胡at芒tr介ib據(jù)ut冊e波na豬me敵><降at不tr懷ib殃ut叨e券va揪lu缺e>dc意_s挎he軋ll攝>g街et動_a運tt儀ri弱bu參te雄S完TD懲_L聚IB謊d透ef螺au但lt尿_m凈ax籠_t恒ra稍ns訊it焰io束nDC的文訪件格地式及富類型DC支持堡的文懲件格鬼式*.db文件意,DC的內(nèi)芒部文坦件格多式Ve依ri龍lo物g文件VH龍DLED版IF歸:e鳴le占ct路ro捐ni趕c請de沒si嚇gn短i返nt亭er愿ch柏an鍋ge淘f頌or任ma輸t,用于文不同懸工具尚間門控級網(wǎng)拌表的隨移植DC的文殿件類咳型Sc石ri指pt猾f母il胃es蜓<錫fi巨le御na動me騎>.sc招rRT斬Lve煉ri余l(xiāng)o袖gfi顯le澡<慰fi激le擴na賀me給>.短vRT么L霧VH收DL零f傾il跟e器<f酷il偽en抬am學e>立.vh枯dSy煙nt桶he窯si炕ze杠d菌VH爺DLne躲tl卻is茅t<f化il板en胞am穗e>告.sv肺hdED杯IF分f演il永e察<f壘il殼en由am榨e>遷.ed奴fSy斧nt沈he籠si工ze煮dve繞ri閘lo基g沫ne振tl阻is禁t<f擋il辭en蟲am抬e>柱.svSy蜂no至ps搏ysda章ta寶ba右se材f普il咳e侄<f階il狡en解am束e>徐.d罷bre演po忍rt吸<虛fi珠le刪na拆me勾>.蹦r羞ptLo氧g辭fi跟le于s延<f熊il狹en餐am沉e>郊.l卷ogSy作no捎ps生yste侄ch產(chǎn)no忙lo懼gy吐l愈ib奧ra出rySy米no鍵ps僅yste慰ch鬼no苦l(xiāng)o腿gy獻l掃ib蕉ra齡ryte泥ch娃no突lo鹿gy庭l慚ib男ra易ry由半到導體四制造翅商提逝供,浙定義ce挨ll的相閃關信投息及管設計恐標準舒約束賀,分糧為兩疤類:ta甲rg鴉et城l貝ib鞠ra侍ri益es滅:在門礦級優(yōu)廚化及插映射疑時,殼提供落生成嶄網(wǎng)表永的ce得ll;li匯nk維l辱ib辣ra德ri翻es姿:提供誤設計秀網(wǎng)表暮中可斤引用街的ce嗽ll,DC不利逆用li鈴nk如l豈ib御ra貿(mào)ri綱es的ce拒ll做綜隸合;Sy壟no顫ps塔yste功ch螺no剛lo綿gy敬l懷ib楚ra攻ry結構喂組織朝可看涂作實示際上艘的技由術庫唯標準洽,它圣是一站個文鞏本文停件(多擴展粱名“涼.li麥b”倆),在使唐用之穩(wěn)前,涂需被LC編譯荷,產(chǎn)富生一借個“痕.db恒”文件汪,其懂構成便如下見:Li握br枕ar破y次Gr疑ou解p;Li吐br慌ar揪y喊l(fā)e稻ve雙l草at胖tr茶ib壘ut撇es策;En咳vi腦ro俗nm奧en金t薯de曾sc鉤ri撓pt粱io敞n;Ce獅ll韻d登es球cr她ip香ti剃on幕;Sy盤no巖ps世yste豆ch倍no丹lo淚gy吩l孫ib萬ra宏ryLi磚br芳ar布y贊Gr漂ou該p:定義相庫名li捐br言ar逼y(遵ex饅25責)/落*姑Li乳br鉆ar攤y烏Gr沉ou鞏p{/戰(zhàn)*s船ta照rt能o笛f琴li閥br厭ar跑y*明/…<a善tt艷ri蟻bu賣te恐s右de歡sc舍ri廚pt睛io撞n><e揪nv砍ir幅on嬌me理nt噴d顛es尸cr織ip兄ti艱on慚><c廈el莊l碧de天sc劍ri戚pt撓io設n>…}/恢*e證nd肥o中f況li肅br興ar烈y*看/一般也,一汁個庫逃文件慕含有君一個Li里br勸ar榮y觸Gr堵ou蜻p,庫避文件襖和Li貨br錫ar站y名相癢同。Sy侮no押ps摟yste諒ch父no細lo光gy寄l駁ib憤ra逮ryLi吸br蒼ar乏y武le腎ve歡l鳥at藍tr此ib屋ut涌es任:定義糾技術糾庫的熟全局亦屬性厘,如圓:技史術庫暫的類拴型(CM辰OS橡/T順TL喂)、日期蘿、版懶本及史缺省詳值,臂例如奶:li稠br柜ar訪y烘(e礦x2傷5)句{te貪ch柱no脆l(xiāng)o約gy儉(cm歷os);de巴la組y_駛mo銳de講l鏟:t瞎ab柴le窄_l馳oo肺ku相p;da斷te柔:”fe臣b29掏,2且00歸0”木;re名vi紫si衡on浴:”綿1.兼0”走;cu胡rr剩en秤t_士un毛it罩:”貝1A抱”;ti疤me摩_u矛ni睬t吐:”稻1n址s”浸;vo快lt悠ag捐e_側(cè)un訓it多:”羨1V密”;pu喊l(fā)l偶in勵g_獎re太si辨st析an倆ce期_u塌ni時t效:”間1k憲oh齒m”亡;ca刷pa陷ci坐ti歉ve域_l史oa泡d_黎un欄it偵(1緊.0屯p權f)毀;de頌fa或ul扒t_in尿ou負t_p榜in欲_c彈ap倚:冰1.擊5;de擋fa拒ul板t_派in敲pu盤t_修pi憐n_陰ca劈燕p樣:1危.0躲;de餅fa屆ul央t_里ou棗tp穿ut喝_p益in漏_c飾ap覽:0小.0鼓;de延fa圖ul騎t_震ma讓x_fa盜no誤ut:1堵0.嗽0;de淚fa灘ul舒t_僻ma鏡x_脈tr弦an壓si茶ti矮on稀:1龍0.補0;de忙fa定ul桐t_克op升er向at吉in筆g_真co岸nd消it享io蛋n飄:盼NO飼MI而NA偷L;in旋_p殼la閱ce墻_s滾wa巾p_落mo灶de魚:m能at闖ch主_f巾oo璃tp奪ri增nt線;……塞}Sy良no痰ps介yste饑ch濁no英lo蘋gy榮l徑ib浙ra疫ryEn癥vi蚊ro勞nm圾en甘t概de扁sc波ri歐pt警io蟻n:主要攀包括朝:縮放陸因子卵(sc趟al射in云g活fa歉ct昨or賴s)時序講模型塊(ti狼mi來ng湖r蠻an招g被mo及de創(chuàng)ls聰)操作晴條件恒(op量er功at坦io特n合co穗nd紫it權io形n)線性貌負載畢模型控(wi籍re千-l哄oa熔d尖mo抗de滅ls玻)縮放疤因子警(sc耀al鑄in痰g束fa奇ct占or話s或K-增fa健ct花or揮s)坦:實際梢上是泊一個直乘數(shù)至因子膛,表此示隨建著PV汪T的變凱化,坊對設思計延脹時的顏修正奸。例府如:K_扭pr庭oc迷es兇s_倡fa噸ll謠_t毒ra猜ns棋it侄io聯(lián)n好:1若.0寄;K_垃pr涂oc踢es懇s_場ri環(huán)se菜_t苦ra哪ns茅it故io棗n眉:1竊.2除;K_遷te場mp姐_f孤al組l_勾tr洪an虧si后ti它on廢:0競.0飽3;K_它te毒mp嘉_r澇is置e_撥tr克an俘si之ti勝on駛:0種.0軍4;K_畏vo軋lt磁_f蟲al鈔l_諸tr嶼an壘si蔑ti磨on題:0勒.0裂2;K_踏vo山lt滔_r癥is呼e_典tr警an四si見ti犬on嘩:0準.5居;時序母模型蛾(ti蘆mi佛ng蛾r崖an切g團mo欠de國ls留):根據(jù)山操作秤條件程的變嘉化,侵修正權信號長到達眼時間菠,如表:Ti時mi事ng截_r鴿an需ge鑒(B務ES抗T)楊{fa搖st茶er俗_f效ac鞋to扒r穿:0蕩.5贈;sl范ow懂er灶_f亞ac蠶to競r桿:1助.3超;}Sy菌no趕ps暮yste嬌ch它no喝lo綠gy征l賭ib喜ra哄ry操作蓬條件趕(op江er總at綠io哄n顏co雀nd獄it出io圖n)米:定義亮了PV弦T和RC樹模批型。DC根據(jù)爛操作白條件棵縮放ce迫ll和ne去t的de系la擔y;Sy攜no第ps痛yste煌ch瓦no吳lo冊gy增l亮ib顆ra那rytr清ee瘡_t甘yp敗e:定義嚷時序壇計算土時內(nèi)仿部連倒結的尖電阻嫂、電記容值穿的分請配,齒有wo狹rs色t_燙ca英se危_t栽re躁e,弟b究al重an歪ce波_t說re起e,秒b媽es鼓t_敏ca吵se氣_t類re妥e,三種上類型早可貢死選擇框。我通們利垮用Dc來表聞示dr舉iv眾er渴p禍in和dr臭iv勤en職c江el飄l的in發(fā)pu啦t夕pi杯n的內(nèi)宋連延硬時,是則它妄們的wi政re患_l汗oa佛d分別娘對因悲如下毀:Sy可no扣ps造yste喊ch毅no潔lo鍋gy睡l徒ib然ra祝ry線性妖負載判模型紹(wi離re勒-l制oa傲d飽mo嶺de散ls繡):估計pr串e_虛la淡yo疊ut電路神中ne蘆t的電嫁容,鬧電阻護及面圍積。Sy戶no投ps謀ys提供嬸了幾豆種不滔同的wi校re滑-l乞oa雞d帶mo海de細ls以對哲相應愁的設車計邏萄輯大罰小,耕它給換出了ne饞tfa言no庭ut和ne暖t傍le且ng賄th的統(tǒng)胳計關老系。父例如棕:Sy帥no揉ps民yste脆ch縱no敬lo抗gy惠l坊ib生ra讀ryCe綁ll望d桑es宵cr烈ip啟ti博on投:描述ce婆ll的屬并性,嚴包括響:輸泳入輸丈出pi撞n、時序符等,漠例如憲:ce尼ll并(B延UF仇FD扒0)舊{ar肌ea昨:5爸.0騾;pi趴n(徹Z)蓬{ma獲x_職ca滴pa距ci散ti匆ve磚:2崗.2改;ma知x_fa茂no駁ut:4拒;fu草nc矩ti惑on黑:”召I”系;di肺re洪ct著io著n:遇ou據(jù)tp屈ut明;ti法mi告ng靜()儀{……}re貫la急te希d_撕pi擺n:腹“跡I”鹿;}pi先n(堂I)哄{di棄re炸ct畫io盼n:鎮(zhèn)in暢pu教t;ca南pa士ci襲ti沫ve更:0殼.0您4;fa放no該ut_l作oa槽d:茶2.扇0;ma鋤x_信tr燒an損si商ti域on背:1娘.5抵;}}Sy鹿no啞ps狗yste廚ch截no梳lo成gy拆l鹿ib陸ra扛ryCe潛ll各pi貧n相關賄的DR塑C屬性In如pu寒t殲pi程n的fa脾no偉ut_l垂oa斧dOu砌tp鈴ut邁p采in的ma會x_fa頓no浮utIn晨pu艙t捆or支o捉ut體pu遞t興p挖in的ma罪x_乘tr遣an互si策ti分onIn神pu俯t烤or愈o徑ut橫pu虹t伯p急in的ma鄉(xiāng)豐x_賣ca爛pa浴ci擱ta復nc杯eDR獨C屬性約定義斧了庫席中ce裙ll的操爭作條淺件,沈和制轎造廠扎家的闖工藝綠技術它相關值,設叉計不喂能超稠出這嶺些條韻件的即規(guī)定落。Ce奪ll的DR訪C屬性天可以初用如忽下命渠令改銹動dc仇_s森h(huán)e兄ll蜻>se邁t_潔at終tr刺ib淹ut鋒efi線nd爪(p熔in使,e匆x2逼5/褲BU淋FF撤D0哄/Z葡)坡ma圣x_fa兼no并ut2.風0時序ce慰ll通過飯將cl目oc池k餅in往pu休t殿pi鴉n的“cl哭oc倡k”屬性眼設置酸為tr其ue定義in伙pu愁t好pi臨n為時垃鐘。Sy嗚no丟ps墳yste貝ch乒no的lo浙gy晌l圈ib密ra擱ryGo擔od箱l洞ib際ra農(nóng)ry所有營的ce妨ll都有友各種悶各樣悼的驅(qū)殼動強是度;Bu瘋ff姜er和in薯ve鹿rt酬er盜s的驅(qū)刮動強余度變增化范逆圍大化;Ce呈ll的ri撥se和fa恥ll的de壘la廉y較均域衡;一個河物理ce爬ll含有花相同涌邏輯辱功能取,但夫相位鍵相反派輸出旁隔離墊,如糧:OR闖,N苦OR集;兩個鏡物理ce歉ll責s,有相賀同邏禁輯功捎能,惰但相姨位相笨反如寧:AN魂D,予NA巡壽ND顛;擁有督各種和高驅(qū)淺動強傭度的悄帶有甚一個幸輸入in齒ve愈rt承er街s復雜ce教ll那s(秤AI置O,合OA寬I)抗;擁有燭各種透高驅(qū)搞動強迷度的偽高扇優(yōu)入的ce晌ll毫s;擁有琴各種地驅(qū)動準強度乳的,箭正沿返負沿紙觸發(fā)頁的fl撐ip勉_f詳lo哥p;擁有待各種馳輸出治驅(qū)動胳強度語的fl胞ip復_f傅lo透p;擁有斤各種抹不同執(zhí)的se蹤蝶t,拆re凍se嶺t組合弊的Fl趟op考;擁有岔各種拌驅(qū)動范強度須的,似正沿覽負沿慈觸發(fā)仔的la啦tc滋h;擁有de殺la激y雪ce遺ll穴;Sy住no澇ps旦yste鹽ch冤no蓬lo染gy里l碰ib臟ra群rySy仗no賴ps恒ys支持佩如下種集中聚延時趨模型患:CM磚OS通用炊延時創(chuàng)模型錦;CM豬OS線性輕延時德模型滑;CM沿OS非線拴性查農(nóng)找表遲模型脹;前兩俘種模斥型已部不常暑用,淘我們充現(xiàn)在弓采用割非線超性延偽時模饞型(no迎n-陵li錯ne煩ar帽d掘el溝ay刮m運od遇el斬)計算ce血ll的延耍時。轉(zhuǎn)所謂NL植DM咱,是一泉個二財維查裙找表旋,根給據(jù)一基個ce收ll的in筒pu共t吵tr羅an菜si脹ti你on和ou您tp蓄ut匆l描oa庭di錘ng查找ce殲ll的de涼la糞y和ou做tp躺ut邁t壓ra倍ns組it挑io退n射,一般堅每一搏個ce局ll都有填兩個喚表,乎一個違用于ce績ll控d魯el禁ay宅,另一席個用伶于ou益tp啊ut允t搖ra距ns傳it葛io堪n宿。對于in蘿pu講t庸tr適an屆si欣ti攻on和ou恩tp俗ut跳l吳oa猶di籌ng沒有練在表挨中列惹出的ce尼ll美,可用餐插入環(huán)法計飄算得如到。Ce滑ll的延六時由in艦pu恭t綱tr裹an袋si達ti輸on和ou慈tp獎ut當l駝oa欲di餐ng決定白,但in挺pu公t枝tr畢an景si捧ti疲on就是dr為iv急in龍g朋ce帖ll的ou士tp睡ut敲t恐ra厲ns辟it顏io獻n,若dr稿iv像in巨g丑ce某ll有多備個ti打mi砌ng逃a袖rc喂,則dr匪iv慎en眼c出el熔l的延盞時計除算會辜受影已響。敲例如,U1有兩冊個ou聰tp彩ut恰t邀ra躍ns嶺it高io漸n,對U2的延綁時計評算如患何選尼取in途pu威t犧tr筋an蔬si況ti策on藝?我們柴可采慕用se據(jù)t_膽di捧sa第bl姜e_湊ti傅mi首ng無U撈1窗–f紙ro懂m憤A鋪–t走o摩Z,避免DC采用A白to克Z的ti丙mi注ng嚷a尼rc恐.re江se腦ta2n鎖s0.點3n槍sZZAf亡fe籍ct喉ed僵g蜘at綿eU2U1ABPa殃rt礙it刺io薯ni古ng悅f哀or賺s何yn梅th怖es迎is劃分競的目養(yǎng)的使設碰計易防于管獻理,雕提高育設計特的重嬌用性獲得鵝更好地的綜疫合結昌果簡化步約束喉和sc旅ri卵pt俊s,使綜我合操詳作更臉容易趕;提高疑編譯猾速度劃分非應綜租合考小慮以掙下幾場個方傍面邏輯更的功花能設計由的目銀標編譯終的技擇術下面顏就以覆下幾悔個方揪面做警簡單昂介紹劃分建的方謊法劃分礎的原橋則RT黃L編碼拒指南Pa飄rt潔it遠io椅ni吧ng喘f獄or續(xù)s賺yn獸th脅es仇is劃分擠的方升法在編紀寫HD郵L代碼嘗之前穴,根胸據(jù)功督能做濱初步臣劃分滲;DC可在它綜合楊和對沖劃分跪進行殺修改廳;在DC中改漫變劃選分的輛命令響:gr勸ou饅p,棒un姜gr注ou講pGr塘ou紅p:將指者定的驢實例您組合倉為一憶個模她塊,誕生成麗新的倚層次姥劃分吉;Un脖gr脅ou覆p:移去小以前襖的模說塊劃牙分。燒在執(zhí)壩行gr株ou和p和un顏gr訂ou考p命令伴之前堂,包虎含實害例的錄設計鋸模塊土需設榴置,黨命令泥如下礦:dc趨_s臥he忙ll紀>c櫻ur賞re生nt其_d裁es艙ig柔n舉to虹pU0U1U2to胖pU0U1U2su濤b1to堅pGr排ou鴉p櫻{U疾1家U2替}河–d司es脹ig荷n_靈na頁me泡s團ub器1Un喚gr臟ou莫p昏-a恒llPa名rt帳it遭io魚ni擁ng困f婆or醋s謹yn吩th己es盲is劃分炊遵循漢的原飲則:相關掙的組死合邏謹輯在特一個否模塊吹內(nèi);設計櫻可重撞用性邀;根據(jù)防功能讀劃分揮模塊臂;結構誼邏輯困和秋隨機宇邏輯僚分開貼;一個惱合理和的尺仰寸(斤最大氣10K門)炎;在頂嚷層分激為I/Op啄ad梯s,D栽FT坊,c鎮(zhèn)lo慢ck魚,c季or謎e強lo惠gi逼c;在頂輝層不匠要加味粘合肯邏輯詢;狀態(tài)輕機和窮別的香邏輯漸分開攔;在一早個bl次oc旬k中不要要有改多個周時鐘車;用于外時鐘工同步烈的塊奇要隔啊離;劃分徹時,薪應考謀慮La福yo棚ut收;與技甲術庫絹相關蜘的實課例化午的ce望ll應在王單獨籃模塊謝內(nèi);Pa槐rt吉it祝io厘ni眉ng棋f殊or即s跌yn圾th溫es脆is編碼難指南RT掠L級的HD私L描述滾實際物是電張路結關構的紹文本炮描述電,它渴是技使術無怕關的現(xiàn),類衫似于厭網(wǎng)表徑。HD賊L語言槽先于距綜合勾工具排出現(xiàn)傘,綜畢合工嫁具只嗎能根位據(jù)HD士L代碼驕進行撤邏輯揪推斷凍,對今完成萄同一歡功能貓而書色寫方惹式不蠶同的HD丹L代碼柱,綜授合工慈具會把產(chǎn)生繩不同逐邏輯按電路聲。所葵以不缸能依飽靠DC去修必正不高符合擠規(guī)范勾的代爹碼。餐寫代孫碼時脖,需瓶清楚蹈描述粉的硬援件電垂路拓熔撲結庭構。RT揮L描述拳內(nèi)容我如下披:寄存庫器的止結構些;電路打的拓閉撲;寄存廉器之卻間的掙功能任;DC僅對資寄存療器之慰間的追邏輯似進行接優(yōu)化蕩,不承會對蠶寄存循器的感排列醋進行萬優(yōu)化男。Pa濟rt非it鉛io考ni肢ng淺f胳or永s公yn統(tǒng)th書es春is編碼含指南HD施L代碼曾的編曲寫應拒注意地技術咬獨立傷性,縮慧盡量急減少血引用裕在某輩些庫壘中定棒義門各的ha片rd柔_c盲od相ed。若丙必須甲引用寸,將艘這些工引用染門放膊在一謎個單云獨的燦模塊送內(nèi)。Cl諷oc改k邏輯Cl欣oc宗k邏輯流及re茅se多t生成里應放版在一鴿個模礦塊中串,便其于綜緩合時尚對時正鐘約役束的癢定義憂;Cl難oc效k命名民在設絮計的此各層尤次應攻保持禍一致吵性;在一今個模每塊內(nèi)趙不要葬由多趣個時孫鐘;對于抓時鐘要源的mu乖x,用于客測試渡時控苗制時四鐘,最好名人為活實例檢化,鞏便于se辜t_高di饒sa陵bl息e_雜ti挑mi緊ng的使藏用;在to乒p各le趁ve帽l不要競有粘決和邏移輯;一個眼文件察僅包牛含一雷個模階塊,附模塊司名與喝文件功名相舞同;I/泄O廚pa導d應為洗單獨爬的模煩塊;最小?;恍缺匾膶尤舸?,觸過多瞧的層馳次會森降低宵電路邪的性今能,證因DC邏輯樓優(yōu)化橫不能新跨越絲式模塊罷的層徒次;所有發(fā)模塊但的輸毀出直直接來夸自寄舌存器模的輸棉出;狀態(tài)粥機對ve銳ri蓄lo益g,S漫ta葉te命名茶使用糊“pa端ra此me價te括rs謎”刺;組合勇邏輯紅和時闖序邏年輯分靠開;綜合川環(huán)境建立在綜精合之雕前必宋須用se浮tu序p文件瞇配置陣綜合屯的環(huán)證境,顫下面胡,我闊們就臥以下辛幾個鵲方面畫對se盞tu斬p文件撐進行躁介紹蜓:se仇tu部p文件頃的位伴置se節(jié)tu虹p文件壟的內(nèi)愧容se丘tu濾p文件齊舉例綜合黨環(huán)境建立se喊tu陜p文件汪的位姜置:由一纖個se顆tu稼p文件統(tǒng)提供尊,文甘件名教必須丹為“肚.sy挨no拆ps灰ys_d每c.潑se炭tu簽p”死,通過帽向相古關環(huán)筐境變桿量賦箱值,晃定義薦技術譽庫的寇位置浩及綜功合需您要參符數(shù)。se繡tu夫p文件本的位庫置如昂下:Sy手no嬸ps蝴ysin童st億al震la意ti詠on斜d廟ir怒ec末to宜ry匪:它用煌于卸毅載Sy絮no語ps陜ys技術奧獨立喬庫及域別的腦參數(shù)躺,不以包含剪設計呀相關卸的數(shù)邁據(jù)。Us船er慚s諒ho醋me剛d銷ir淋ec汽to駁r:用的se撕tu絹p信息窩。Pr到oj輔ec約t吃wo劑rk里in濟g克di搶re雀ct呆or掃y:設計智的se啞tu謎p信息DC按以擔上順壇序依聾次讀說取se策tu聽p文件環(huán),最鄙后一皇個讀欠取的se傭tu述p文件酬將覆元蓋前嬌面讀薪取的se猾tu乏p文件懶。將設近計相乞關的st擊ar湯tu籌p文件門放于Pr終oj基ec棗t厭wo粗rk揪in小g逢di堡re捕ct槐or滋y下。綜合級環(huán)境建立St殃ar丸tu西p文件斤必須拖定義腿如下宅變量:Se墾ar余ch捷_p時at寬h:指明呢庫文塊件的伐位置Ta棕rg憂et喪_l悠ib揪ra爹ry食:既技懂術庫糠,由西生產(chǎn)逝廠家捷提供潛,該脆庫中找的ce搏ll視s,被DC用于光邏輯宗映射貍。Ta淹rg停et霸l哄ib臺ra捉ry的文微件名冶應包還含在Li闊nk尤li占br溫ar哈y的文舌件清畜單中姜,用初于DC讀取鼠門級痕網(wǎng)表愛。Li煌nk基_株li德br管ar央y:該庫逼中的ce糾ll鑒s,孔DC無法字進行湯映射刃,例艘如:RA謹M,拾RO鑼M及Pa顯d,在RT德L設計蕩中,受這些ce傘ll纏s以實腰例化般的方窯式引隸用。Sy捎mb票ol減_l關ib綿ra域ry飛:該庫膚文件屯包含吐技術多庫中ce釘ll灰s的圖避形表斑示,探用于DA生成伴門級懼示意候圖。Ta酒rg續(xù)et烤_l公ib爬ra鐘ry和Li鞏nk荷_讀li戲br尿ar版y為設氣計者多提供習了將霞門級亡網(wǎng)表仰從一遭種技幕術在戰(zhàn)映射尖到怠另一類種技負術的捉方法推,將閃舊的Ta帝rg司et話l漁ib刺ra糞ry文件齡名包編含在Li泉nk俗_l艱ib嗎ra塔ry的文竟件清悄單中夾,而Ta役rg搭et馬_l誕ib哪ra民ry包含計新的Ta挑rg缸et夫l轉(zhuǎn)ib楚ra礦ry文件乓名,廳利用tr醒an士sl掛at甘e命令穗實現(xiàn)沉。綜合覽環(huán)境建立設計蘇相關勾的st泄ar我tu餃p文件箏的例加子:.sy舉no駛ps寄ys_d挨c.數(shù)se加tu扣p文件co匪mp魔an麻y煩=“營zt南e助co育rp形or緒at爐io徑n”獲;de動si乓gn驕er漠=“己na慶me投”;te智ch廚no復lo讓gy瞧=“架0.伏25偵m過ic葉ro讀n”se但ar早ch黎_p慚at奴h=音se可ar杏ch框_p朵at加h+郵{“蔬.”級“儀/us版r/g米ol美de演n/搶li蜘br簡ar挎y/肺st戚d_宏ce示ll侍s”介\“/us成r/g今ol胖de厭n訓/l衣ib襯ra免ry爆/p租ad短s”妄}ta臨rg曲et牽_l慘ib扶ra衡ry蘆=魂{s小td瞧_c們el立ls縮慧_l夫ib屆.d婆b}li沿nk槳_l芝ib碗ra祝ry自=顧{“魂*”耍,s危td寨_c兼el紙ls畜_l集ib觸.d歡b,具pa短d_磚li廟b.愁db宅}sy聞mb擠ol為_l園ib踐ra蟻ry沙=鞭{s牲td叮_c升el示ls促.sd好b,p方ad隨_l席ib贏.sd咱b}其它漲的環(huán)薦境變筒量的慎設置降參看DC的操動作手銅冊。邏輯纖綜合訓的過切程DC通過Sc劃ri醫(yī)pt文件丘,自灑動完殊成模逮塊的允綜合屬過程布,其清內(nèi)容莖如下招:RT鏟L斯de觸si俊gn且e肅nt遭ryEn哭vi雨ro慶nm厘en稈t方co嫌ns銷tr閉ai婚nt馳sDe廟si顏gn粗a宋nd份c滔lo蝴ck費c雪on寧st壤ra涉in侵tsCo涉mp銳il慨e蛾de旱si張gn才i硬nt技o散ma橡pp如ed嫂g禍at趴esOp攀ti孟mi想zi抖ng炭d今es趙ig蓬nan財al例yz擴e仆th植e抓sy時nt化he無si傍s咬re蛾su現(xiàn)lt爆s吵an目d英de眉bu質(zhì)g嘩po州te乘nt拆ia盈l粗pr攜ob膜le撤ms閱.Sa獄ve川d圍es嘩ig沈nne吵tl拒is蘇tRe俱po振rt悉c庭on麥st樓ra浮in寧ts(O臂pt飼io刻na擱l)惑A嶺pp蘿ly集c拼ri春ti區(qū)ca蜻l粥pa耕th曾c逐on必st稱ra調(diào)in胡ts(O復pt繩io喪na劍l)促S附ec均on煙d里co蛇mp拔il喜e方to馳i撫mp趨ro留ve回c枕ri輪ti呢ca杰l欺pa棚th尼s(O聞pt裝io液na壟l)惜S敗ec牙on所d頁pa四th庸c撓om添pi愚le頁c齒on恰st攔ra喬in飲t抵re費po胡rtRT薄L憑de垂si唯gn沙E栗nt栗ry功能云:向DC輸入HD腹L描述撿的設題計,命令勉:re痛ad和an慨a(chǎn)l塵yz很e&攪el貍ab懶or固at得e,推薦盲用an懸al框yz智e&危el朱ab參or牽at膝ean催al郊yz挺e&膏el含ab捆or挑at施e允許交設計燭者在呀設計涼的GT佛EC互H建立騙之前售,首邊先去偵分析稱設計耗的語窗法錯駁誤和境進行HD李L代碼珍轉(zhuǎn)換編。GT撤EC落H由“so鞭ft辭m炭ac督ro型s”貸s罰uc休h榜as修a增dd弱er綠s,蛇c霸om壁pa刑ra見to潤rs等組心成,柜這些丟組件默來自sy略no掘ps悉ys的sy縱nt駕he倚ti膛c饒li寺b,每種處組件脹具有欺多種燈結構屆。An即al漢yz肅e做語伸法的址檢查睬并產(chǎn)域生一構個“召.sy圣n”文件議,存噴儲于wo祥rk路徑葉下的測定義展的設匪計庫跨內(nèi),鋒可供昨后來el偏ab訪or捐at租e使用脂。對征于一葬個an姐al道yz仁ed設備敗,只食需用el倉ab目or夏at褲e重新榆輸入污,節(jié)組省時臥間。Re角ad就不蓋行。宴以下軟是兩時個命異令的換比較葵:類別
analyze&elaborate
read格式
verilog或VHDLverilog、VHDL、EDIF、db等所有格式用途綜合verilog或VHDL的RTL設計讀網(wǎng)表,設計預編譯設計庫用-library選項定義設計庫名,存儲“.syn”文件用缺省的設置,不能存儲中間結果Generics(vhdl)可用不可用Architecture(vhdl)可用不可用En炊vi役ro啊nm誘en裁t趙co促ns軋tr板ai真nt它s功能仙:定橫義設痕計的縮慧工藝鏡參數(shù)破,I/確O端口卷屬性仇,統(tǒng)旺計wi終re戰(zhàn)-l腥oa扯d模型慕。下講圖解違釋了迎描述預設計訂環(huán)境晴約束釣的DC命令票:set_max_capacitanceset_max_transition&set_max_fanoutoninput&outputportsorcurrent_design;BlockBClockDividerLogicBlockAset_loadonoutputset_operating_conditionsonthewholedesignclkset_driveonClockset_driving_celloninputsignalsset_loadoninputsset_wire_loadforeachblock,includingtoplevelTo價p也le披ve畫lEn保vi矩ro拋nm歡en救t類co暢ns心tr坑ai墳nt辰sSe鄰t_貝op鄉(xiāng)豐er缸at刮in廊g_賺co厚nd選it慰io悶ns線<挨na捐me穿o描f示op屋er邪at挽in暫g誰co布nd角it應io蒙ns尺>用于膽描述繡操作金條件末:pr施oc稼es示s,應vo娛lt治ag脈e,矩te鵝mp臨er參at葵ur蔑e,ce翅ll和wi膛re的de哈la刑y和操偶作條芽件呈仍線性匠關系括。如體:Se漁t_欣op旱er悉at理in芒g_給co滲nd拴it織io恐ns繼WO貌RS船T(或TY什PI包CA嘩L、肢BE露ST猾)命令se繳t_休op霞er假at形in期g_間co摟nd晴it錯io諒ns績–閑mi犧n珍BE狹ST純–躍ma公x疫WO姑RS廈T用于熱指示DC對設秤計的WO匹RS庫T和
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 七級考試題及答案
- 石油化工行業(yè)典型事故案例
- 文檔超強英語四六級課件
- 2025年稀土高速工具鋼項目建議書
- 現(xiàn)代外賣配送騎手職業(yè)素養(yǎng)與責任承諾合同
- 美容美發(fā)行業(yè)人才招聘與培訓服務合同
- 網(wǎng)絡零售智能電子價簽租賃及售后服務協(xié)議
- 頂級商業(yè)街區(qū)十年租賃期物業(yè)全面管理合同
- 胎黃中醫(yī)護理
- 抖音火花話題挑戰(zhàn)賽選手賽事保障與支持協(xié)議
- 2025年鐵路集裝箱市場前景分析
- 2024-2025中國商旅管理白皮書
- 船舶維修合同協(xié)議書
- 《比亞迪品牌歷史課件》課件
- 小學心理健康家長會課件
- 2025年4月自考00160審計學答案含評分參考
- 購買木地板合同協(xié)議
- 嚴重開放性肢體創(chuàng)傷早期救治專家共識解讀
- 2025年公共安全管理考試試題及答案
- 速賣通開店考試最權威答案
- 輸液導管相關靜脈血栓形成中國專家共識 課件
評論
0/150
提交評論