數(shù)字電子鐘實(shí)驗(yàn)報(bào)告有完整電路圖_第1頁
數(shù)字電子鐘實(shí)驗(yàn)報(bào)告有完整電路圖_第2頁
數(shù)字電子鐘實(shí)驗(yàn)報(bào)告有完整電路圖_第3頁
數(shù)字電子鐘實(shí)驗(yàn)報(bào)告有完整電路圖_第4頁
數(shù)字電子鐘實(shí)驗(yàn)報(bào)告有完整電路圖_第5頁
已閱讀5頁,還剩39頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、目錄第一章 數(shù)字電子鐘系統(tǒng)設(shè)計(jì)摘要1.1數(shù)字電子鐘摘要.31.2本文關(guān)鍵詞.3第二章 數(shù)字電子中系統(tǒng)具體設(shè)計(jì)過程與實(shí)現(xiàn)2.1.技術(shù)指標(biāo).42.2系統(tǒng)設(shè)計(jì).42.2.1 系統(tǒng)框圖.62.2.2 方案論證.72.3單元電路.82.3.1 分頻器.82.3.2 60進(jìn)制計(jì)數(shù)器.92.3.3 24進(jìn)制計(jì)數(shù)器.102.3.4 12與24小時(shí)轉(zhuǎn)換器112.3.5 四位動(dòng)態(tài)顯示器.172.3.6 整點(diǎn)與學(xué)號(hào)報(bào)時(shí).182.3.7 鬧鐘.222.3.8 校時(shí)校分.242.4整體電路圖252.5單元電路功能測(cè)試262.6整體電路功能測(cè)試322.7實(shí)驗(yàn)完成情況37第三章 實(shí)驗(yàn)原件清單.38第四章 結(jié)束語.39參考文

2、獻(xiàn).43附錄(預(yù)習(xí)電路圖與正式電路圖)第一章 數(shù)字電子鐘系統(tǒng)設(shè)計(jì)摘要1.1 數(shù)字電子鐘課題設(shè)計(jì)摘要課程題目:數(shù)字電子鐘設(shè)計(jì)摘要:數(shù)字鐘是一種用數(shù)字電路技術(shù)實(shí)現(xiàn)時(shí)、分、秒計(jì)時(shí)的裝置,與機(jī)械式時(shí)鐘相比具有更高的準(zhǔn)確性和直觀性,且無機(jī)械裝置,具有更更長(zhǎng)的使用壽命,因此得到了廣泛的使用。數(shù)字鐘從原理上講是一種典型的數(shù)字電路,其中包括了組合邏輯電路和時(shí)序電路。通過數(shù)字電路的技術(shù)使用,分頻器、計(jì)數(shù)器、譯碼與顯示器以及各種選擇控制端實(shí)現(xiàn)數(shù)字鐘準(zhǔn)確計(jì)時(shí),校時(shí),設(shè)定鬧鐘,整點(diǎn)報(bào)時(shí),12與24小時(shí)轉(zhuǎn)換,以及整點(diǎn)報(bào)時(shí)的功能。本次課程設(shè)計(jì)采用了Xilinx公司的設(shè)計(jì)軟件Foundation3.1 ,通過電腦仿真來設(shè)計(jì)

3、電路圖,然后下載到芯片95108,再通過硬件具體顯示數(shù)字鐘,并測(cè)試其各個(gè)模塊的功能。1.2 本文關(guān)鍵詞 60進(jìn)制計(jì)數(shù)器,24進(jìn)制計(jì)數(shù)器,譯碼與顯示電路第二章 數(shù)字電子中系統(tǒng)具體設(shè)計(jì)過程與實(shí)現(xiàn)2.1 技術(shù)指標(biāo)2.1.1整體功能數(shù)字電子鐘能以秒為最小時(shí)間單位計(jì)時(shí),同時(shí)應(yīng)能用數(shù)字直觀顯示當(dāng)前的時(shí)與分,通過一個(gè)放光二極管顯示秒,用兩個(gè)發(fā)光二極管指示上、下午,可以手動(dòng)校時(shí),可以設(shè)定鬧鐘時(shí)間,以及事項(xiàng)整點(diǎn)報(bào)時(shí)和學(xué)號(hào)報(bào)時(shí)的功能。2.1.2系統(tǒng)結(jié)構(gòu)數(shù)字電子鐘的系統(tǒng)結(jié)構(gòu)方框圖如圖2-1所示。圖中的秒信號(hào)電路產(chǎn)生1Hz的標(biāo)準(zhǔn)計(jì)時(shí)信號(hào),計(jì)時(shí)電路記錄當(dāng)前的時(shí),分值,數(shù)字顯示以數(shù)字的方式顯示出當(dāng)前的時(shí)間值,鬧鐘電路用與

4、設(shè)定鬧鐘,報(bào)時(shí)電路用于整點(diǎn)報(bào)時(shí)以及學(xué)號(hào)報(bào)時(shí),時(shí)分調(diào)校電路用于校正當(dāng)前的時(shí)間。計(jì)時(shí)電路秒信號(hào)數(shù)字顯示時(shí)、分調(diào)整電路報(bào)時(shí)電路鬧鐘電路 圖2-12.1.3 電氣指標(biāo) (1)最小計(jì)時(shí)時(shí)間單位為1s。 (2)秒和分的范圍是0059,小時(shí)的計(jì)時(shí)范圍是0023,并可手動(dòng)將小時(shí)計(jì)時(shí)范圍轉(zhuǎn)換為0012,同時(shí)指示上下午。 (3)可以手動(dòng)校正分,時(shí)。 (4)具有整點(diǎn)報(bào)時(shí)的功能,要求每個(gè)整點(diǎn)前鳴叫4次低音(500Hz),整點(diǎn)時(shí)鳴叫一次高音(1000Hz),同時(shí)在自己學(xué)號(hào)前也要鳴叫4次低音,計(jì)時(shí)到自己學(xué)號(hào)時(shí)鳴叫高音(1000Hz)。 (5)通過轉(zhuǎn)換開關(guān),可使電子鐘具有鬧鐘功能,可以手動(dòng)設(shè)置鬧鐘的時(shí)與分。2.1.4 設(shè)計(jì)

5、條件使用Xilinx公司的Foundation3.1仿真軟件,選用xc9500系列芯片中的95108PC84下載后通過硬件連接電路實(shí)現(xiàn)各個(gè)模塊的功能。2.2系統(tǒng)設(shè)計(jì)數(shù)字電子鐘主體電路應(yīng)由以下幾部分組成:通過分頻器產(chǎn)生標(biāo)準(zhǔn)秒信號(hào),以及報(bào)時(shí)與鬧鐘需要的500Hz與1000Hz的信號(hào);60進(jìn)制分秒計(jì)數(shù)器以及24小時(shí)與12小時(shí)的時(shí)計(jì)數(shù)器;分、時(shí)的譯碼顯示部分;校時(shí)電路;整點(diǎn)和學(xué)號(hào)報(bào)時(shí)電路;以及鬧鐘定時(shí)電路。數(shù)字鐘的工作原理是:由信號(hào)給出的信號(hào)經(jīng)過分頻后得到1Hz的標(biāo)準(zhǔn)信號(hào),作為秒計(jì)數(shù)器的計(jì)數(shù)脈沖;秒計(jì)數(shù)器計(jì)滿60后,向分計(jì)數(shù)器發(fā)出進(jìn)位脈沖;分計(jì)數(shù)器計(jì)滿60后,向時(shí)計(jì)數(shù)器發(fā)出進(jìn)位脈沖;小時(shí)按照24小時(shí)進(jìn)

6、制或“12翻1”規(guī)律計(jì)數(shù)。計(jì)數(shù)器的輸出分別驅(qū)動(dòng)譯碼電路,數(shù)碼管顯示時(shí)間。當(dāng)時(shí)鐘出現(xiàn)誤差時(shí)可以通過控制開關(guān)進(jìn)行校時(shí)校分。通過控制開關(guān)可以顯示鬧鐘的時(shí)間,并調(diào)整鬧鐘的分與時(shí),還可以通過控制開關(guān)顯示24小時(shí)計(jì)時(shí)或者12小時(shí)計(jì)時(shí)。系統(tǒng)框圖如圖2-2所示。分顯示器時(shí)顯示器 整點(diǎn)與學(xué)號(hào)報(bào)時(shí)系統(tǒng)鬧鐘系統(tǒng)分譯碼器時(shí)譯碼器秒計(jì)數(shù)器分計(jì)數(shù)器時(shí)計(jì)數(shù)器控制電路校分電路校時(shí)電路單次脈沖分頻器 1Hz 1KHz 2KHz 500Hz 方案論證: 本次課程設(shè)計(jì)數(shù)字電子鐘通過電腦仿真,然后通過硬件實(shí)現(xiàn)電路的顯示與功能的調(diào)試,都是實(shí)際可行的,可以操作的,而且各個(gè)模塊的設(shè)計(jì)也是合理的,方案具有可行性。2.3 單元電路2.3.1

7、分頻器 分頻器的功能主要有兩個(gè):一是產(chǎn)生標(biāo)準(zhǔn)秒信號(hào);二是提供給功能擴(kuò)展電路所需要的信號(hào),如仿電臺(tái)報(bào)時(shí)用的1000Hz的高音頻信號(hào)和500Hz的低音頻信號(hào)等。用一個(gè)2分頻的計(jì)數(shù)器把輸入的2000Hz的信號(hào)分成1000Hz的信號(hào),然后用3級(jí)M=10的計(jì)數(shù)器對(duì)1000Hz的信號(hào)進(jìn)行分頻,得到1Hz的標(biāo)準(zhǔn)信號(hào)。如圖2-3從1000Hz的信號(hào)取出2分頻的信號(hào)即500Hz,可用作低音信號(hào)。 500Hz 1Hz M=10計(jì)數(shù)器M=10計(jì)數(shù)器M=2計(jì)數(shù)器 M=10計(jì)數(shù)器2000Hz 1000Hz 圖2-3分頻電路可以選用74160作為計(jì)數(shù)器實(shí)現(xiàn)分頻,分頻器的具體電路如下圖2-4所示。 圖2-42.3.2 60

8、進(jìn)制計(jì)數(shù)器C60電子中的分和秒計(jì)時(shí)采用的是60進(jìn)制的技術(shù)方式,其計(jì)數(shù)規(guī)律00,01,02,0358,59,00選用十進(jìn)制的或16進(jìn)制的計(jì)數(shù)器進(jìn)行兩級(jí)級(jí)聯(lián)即可構(gòu)成M=60的計(jì)數(shù)器。如圖2-5所示 圖 2-5兩個(gè)74160同步級(jí)聯(lián)以復(fù)0法構(gòu)成六十進(jìn)制的計(jì)數(shù)器,第一片M=10,第二片M=6,在計(jì)數(shù)達(dá)到59的時(shí)候進(jìn)位段輸出高電平。2.3.3 24小時(shí)進(jìn)制計(jì)數(shù)器C24圖2-6所示電路是由兩片74160組成的能實(shí)現(xiàn)24進(jìn)制的同步遞增計(jì)數(shù)器。圖中個(gè)位與十位計(jì)數(shù)器均接成十進(jìn)制計(jì)數(shù)形式,采用同步級(jí)連方式。十位與個(gè)位的輸出端分別是H2D, H2C, H2B,H2A,H1D,H1C,H1B,H1A選擇十位計(jì)數(shù)器的輸

9、出端H2B和個(gè)位計(jì)數(shù)器的輸出端H1C通過與非門NAND2控制兩片計(jì)數(shù)器的清零端(CLR),利用狀態(tài)24反饋清零,可實(shí)現(xiàn)24進(jìn)制遞增計(jì)數(shù)。 圖2-62.3.4 12 與24進(jìn)制計(jì)數(shù)器的轉(zhuǎn)換12小時(shí)采用的是“12翻1”的特殊進(jìn)制計(jì)數(shù)器,當(dāng)數(shù)字鐘的計(jì)時(shí)器運(yùn)行到12時(shí)59分59秒時(shí),秒的個(gè)位計(jì)數(shù)器再接收一個(gè)秒脈沖時(shí),數(shù)字鐘應(yīng)自動(dòng)顯示為01時(shí)00分00秒,實(shí)現(xiàn)日常生活中習(xí)慣用的計(jì)時(shí)規(guī)律。在本次實(shí)驗(yàn)中并沒有用原理圖實(shí)現(xiàn)12小時(shí)的計(jì)數(shù)器,而是采用 VHDL語言構(gòu)造一個(gè)原件將24小時(shí)對(duì)應(yīng)轉(zhuǎn)化成12小時(shí)。同時(shí)輸出一個(gè)控制端在12小時(shí)進(jìn)制時(shí)顯示上、下午。VHDL語言代碼如下:library ieee;use ie

10、ee. std_logic_1164.all;entity cntm12 isport(H1A:in std_logic; H1B:in std_logic; H1C:in std_logic; H1D:in std_logic; H2A:in std_logic; H2B:in std_logic; H2C:in std_logic; H2D:in std_logic; H1AOUT:out std_logic; H1BOUT:out std_logic; H1COUT:out std_logic; H1DOUT:out std_logic; H2AOUT:out std_logic; H2

11、BOUT:out std_logic; H2COUT:out std_logic; H2DOUT:out std_logic; AMORPM:out std_logic); end cntm12;architecture beh of cntm12 is signal temp_in : std_logic_vector (7 downto 0); signal temp_out: std_logic_vector (8 downto 0);begin temp_in temp_out temp_out temp_out temp_out temp_out temp_out temp_out

12、temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_outtemp_out temp_outtemp_out temp_out temp_outtemp_out=; end case; AMORPM=temp_out(8); H2DOUT=temp_out(7); H2COUT=temp_out(6); H2BOUT=temp_out(5); H2AOUT =temp_out(4); H1DOUT=temp_out(3); H1COUT=t

13、emp_out(2); H1BOUT=temp_out(1); H1AOUT=temp_out(0); end process;end beh;管腳H2D,H2C,H2B,H2A為時(shí)的十位,H1D,H1C,H1B,H1A為時(shí)的個(gè)位,分別接24小時(shí)進(jìn)制的輸出端,通過判斷將其轉(zhuǎn)化為12小時(shí)進(jìn)制時(shí)的數(shù)字輸出,輸出端為H2DOUT,H2COUT,H2BOUT,H2AOUT,H1DOUT,H1COUT,H1BOUT,H1AOUT,AMORPM輸出1為上午,0為下午。元件封裝后如圖2-7圖2-7然后通過數(shù)據(jù)選擇器選擇24或者12進(jìn)制的信號(hào)進(jìn)行輸出。四片數(shù)據(jù)選擇器74153的CO1與CO2端分別接C24的輸

14、出端與C24to12的輸出端,在74153的B端輸入的是0,當(dāng)A端輸入0時(shí),輸出12小時(shí)計(jì)時(shí)的時(shí)間,在A端輸入1時(shí),輸出24小時(shí)計(jì)時(shí)的時(shí)間。原件內(nèi)部電路圖如圖2-8所示。原件封裝后為CHOOSE12OR24輸入端為H2D24,H2C24,H2B24,H2A24,H1D24,H1C24,H1B24,H1A24, H2D12,H2C12,H2B12,H2A12H1D12,H1C12,H1B12,H1A12,輸出端H2DOUT,H2COUT,H2BOUT,H2AOUT,H1DOUT,H1COUT,H1BOUT,H1AOUT。CHOOSE12OR24端選擇輸出12小時(shí)或者24小時(shí)的時(shí)間。如圖2-9所示

15、。 圖2-8圖2-9最后將C24,C24to12和CHOOSE12OR24連接起來,封裝成時(shí)的計(jì)數(shù)與轉(zhuǎn)換模塊HOUR。內(nèi)部電路與原件分別如圖2-10,2-11。 圖2-10 圖2-112.3.4四位動(dòng)態(tài)顯示電路DTXS數(shù)字鐘只要求輸出時(shí)與分,所以可以采用四位動(dòng)態(tài)顯示電路輸出時(shí)與分的十位和個(gè)位。使用2片74153與74139將四個(gè)位上的數(shù)字動(dòng)態(tài)顯示到數(shù)碼管上,使用74161構(gòu)成一個(gè)模為4的計(jì)數(shù)器,將74153上時(shí)與分十位與個(gè)位的輸入分別輸出到數(shù)碼管,由于計(jì)數(shù)器計(jì)數(shù)的速度非??煲约叭搜鄣臏粜?yīng),察覺不到數(shù)字的變化,最后將看到四位數(shù)字同時(shí)顯示到數(shù)碼管上。74161的CP端接到1KHz的信號(hào)上。具體

16、電路如2-12。 圖2-122.3.6整點(diǎn)與學(xué)號(hào)報(bào)時(shí)電路JTCLOCKCP電子鐘走到整點(diǎn)時(shí)即發(fā)出音響,通常按照4低音、1高音的順序發(fā)出間斷的聲響,以最后一聲高音為整點(diǎn)時(shí)刻。只要整點(diǎn)報(bào)時(shí)的時(shí)刻,即各個(gè)計(jì)數(shù)器的狀態(tài)分揀出來,控制報(bào)時(shí)的音響電路即可達(dá)到報(bào)時(shí)的效果。報(bào)時(shí)的時(shí)間為59分的51秒,53秒,55秒,57秒與59秒前四聲為低音,最后一聲為高音。而學(xué)號(hào)報(bào)時(shí)也是在學(xué)號(hào)前一分鐘的51,53,55,57,59秒發(fā)出聲音。在低音時(shí)輸出500Hz信號(hào),在高音時(shí)輸出1000Hz信號(hào)。輸入端分別是秒、分、時(shí)計(jì)數(shù)器的輸出端。輸出端為O500Hz與O1KHz,再通過74153選擇輸出的是500Hz的信號(hào)還是1KH

17、z的信號(hào)。此 原件使用VHDL語言設(shè)計(jì),具體代碼如下:library IEEE;use IEEE.std_logic_1164.all;entity JTCLOCK is port ( H1A:in STD_LOGIC; H1B:in STD_LOGIC; H1C:in STD_LOGIC; H1D:in STD_LOGIC; H2A:in STD_LOGIC; H2B:in STD_LOGIC; H2C:in STD_LOGIC; H2D:in STD_LOGIC; M1A: in STD_LOGIC; M1B: in STD_LOGIC; M1C: in STD_LOGIC; M1D: i

18、n STD_LOGIC; M2A: in STD_LOGIC; M2B: in STD_LOGIC; M2C: in STD_LOGIC; M2D: in STD_LOGIC; S1A: in STD_LOGIC; S1B: in STD_LOGIC; S1C: in STD_LOGIC; S1D: in STD_LOGIC; S2A: in STD_LOGIC; S2B: in STD_LOGIC; S2C: in STD_LOGIC; S2D: in STD_LOGIC; O1KHZ: out STD_LOGIC; O500HZ: out STD_LOGIC );end JTCLOCK;a

19、rchitecture JTCLOCK_arch of JTCLOCK isbegin process(H2A,H2B,H2C,H2D,H1A,H1B,H1C,H1D,M1A,M1B,M1C,M1D,M2A,M2B,M2C,M2D,S1A,S1B,S1C,S1D,S2A,S2B,S2C,S2D) beginif(M2D = 0 and M2C=1 and M2B=0 and M2A=1and M1D= 1 and M1C= 0 and M1B = 0 and M1A= 1 and S2D =0 and S2C=1 and S2B= 0 and S2A = 1and S1D=1 and S1C=

20、0 and S1B= 0 and S1A=1) then O500HZ=0; O1KHZ=1; elsif(M2D = 0 and M2C=1 and M2B=0 and M2A=1 and M1D= 1 and M1C= 0 and M1B = 0 and M1A= 1 and S2D =0 and S2C=1 and S2B = 0 and S2A = 1 and S1D=0 and S1C=0 and S1B= 0 and S1A = 1)then O500HZ =1; O1KHZ =0; elsif(M2D = 0 and M2C=1 and M2B=0 and M2A=1 and M

21、1D= 1 and M1C= 0 and M1B = 0 and M1A= 1 and S2D =0 and S2C=1 and S2B = 0 and S2A = 1and S1D=0 and S1C=0 and S1B= 1 and S1A = 1)then O500HZ =1; O1KHZ =0; elsif(M2D = 0 and M2C=1 and M2B=0 and M2A=1 and M1D= 1 and M1C= 0 and M1B = 0 and M1A= 1 and S2D =0 and S2C=1 and S2B = 0 and S2A = 1and S1D=0 and

22、S1C=1 and S1B= 0 and S1A = 1)then O500HZ =1; O1KHZ =0; elsif(M2D = 0 and M2C=1 and M2B=0 and M2A=1 and M1D= 1 and M1C= 0 and M1B = 0 and M1A= 1 and S2D =0 and S2C=1 and S2B = 0 and S2A = 1and S1D=0 and S1C=1 and S1B= 1 and S1A = 1)then O500HZ =1; O1KHZ =0; elsif(H2D=0 and H2C =0and H2B=0and H2A = 1

23、and H1D= 0 and H1C = 1 and H1B=0 and H1A = 0 and M2D = 0 and M2C=0 and M2B=1 and M2A=0 and M1D= 0 and M1C= 1 and M1B = 0 and M1A= 1 and S2D =0 and S2C=1 and S2B = 0 and S2A = 1and S1D=0 and S1C=0 and S1B= 0 and S1A = 1)then O500HZ =1; O1KHZ=0; elsif(H2D=0 and H2C =0and H2B=0and H2A = 1 and H1D= 0 an

24、d H1C = 1 and H1B=0 and H1A = 0 and M2D = 0 and M2C=0 and M2B=1 and M2A=0 and M1D= 0 and M1C= 1 and M1B = 0 and M1A= 1 and S2D =0 and S2C=1 and S2B = 0 and S2A = 1and S1D=0 and S1C=0 and S1B= 1 and S1A = 1)then O500HZ =1; O1KHZ=0; elsif(H2D=0 and H2C =0and H2B=0and H2A = 1 and H1D= 0 and H1C = 1 and

25、 H1B=0 and H1A = 0 and M2D = 0 and M2C=0 and M2B=1 and M2A=0 and M1D= 0 and M1C= 1 and M1B = 0 and M1A= 1 and S2D =0 and S2C=1 and S2B = 0 and S2A = 1and S1D=0 and S1C=1 and S1B= 0 and S1A = 1)then O500HZ =1; O1KHZ=0; elsif(H2D=0 and H2C =0and H2B=0and H2A = 1 and H1D= 0 and H1C = 1 and H1B=0 and H1

26、A = 0and M2D = 0 and M2C=0 and M2B=1 and M2A=0 and M1D= 0 and M1C= 1 and M1B = 0 and M1A= 1 and S2D =0 and S2C=1 and S2B = 0 and S2A = 1and S1D=0 and S1C=1 and S1B= 1 and S1A = 1)then O500HZ =1; O1KHZ=0; elsif(H2D=0 and H2C =0and H2B=0and H2A = 1 and H1D= 0 and H1C = 1 and H1B=0 and H1A = 0and M2D =

27、 0 and M2C=0 and M2B=1 and M2A=0 and M1D= 0 and M1C= 1 and M1B = 1 and M1A= 0 and S2D =0 and S2C=1 and S2B = 0 and S2A = 1and S1D=1 and S1C=0 and S1B= 0 and S1A = 1)then O500HZ =0; O1KHZ=1; else O500HZ=0; O1KHZ=0; end if;end process; end JTCLOCK_arch;2.3.7 鬧鐘電路CLOCK 鬧鐘是數(shù)字電子鐘的一個(gè)很重要的功能,鬧鐘系統(tǒng)只要再做一個(gè)分與時(shí)的計(jì)

28、數(shù)器,通過同或門分別將時(shí)與分的十位與個(gè)位與鬧鐘設(shè)定的時(shí)間相比較,當(dāng)時(shí)刻相同時(shí)就輸出高電平,再通過74153選擇1000Hz的信號(hào)輸出進(jìn)行報(bào)時(shí)。當(dāng)時(shí)刻不同時(shí)輸出低電平,這時(shí)74153選擇低電平輸出,這時(shí)蜂鳴器并不會(huì)響。鬧鐘電路中的分與時(shí)計(jì)數(shù)器并不級(jí)聯(lián),兩個(gè)計(jì)數(shù)器的CP端加單脈沖即可實(shí)現(xiàn)鬧鐘的定時(shí)。同時(shí)鬧鐘設(shè)定的時(shí)間也要可以顯示在數(shù)碼管上,因此,鬧鐘電路的輸出端還要有鬧鐘時(shí)與分的信號(hào)。電路圖如2-13所示。 圖2-13封裝后如圖2-14。 圖2-142.3.8 校時(shí)校分電路電子鐘一個(gè)不可或缺的部分就是校時(shí)校分電路,在接通電源或計(jì)時(shí)出現(xiàn)誤差時(shí),需要校正時(shí)間,校時(shí)電路的要求是:在進(jìn)行校時(shí)時(shí)不影響分秒的

29、計(jì)時(shí),同理在進(jìn)行校分是不影響秒的計(jì)時(shí)。同樣需要一個(gè)控制開關(guān)來選擇是校正時(shí)間還是顯示時(shí)間,用一個(gè)74153在B端接0,C0接進(jìn)位信號(hào),C1接單次脈沖,這樣在A端接0時(shí)就輸出進(jìn)位信號(hào),正常走時(shí)。接1時(shí),用單次脈沖來調(diào)整時(shí)間,進(jìn)行校時(shí)。具體電路如圖2-15所示。 圖2-15同理校時(shí)電路和圖2-15相同。鬧鐘的校時(shí)與校分電路則更為簡(jiǎn)單,C0直接接0即可,其他與圖2-15相同。2.4整體電路上面已經(jīng)完成各個(gè)單元電路的設(shè)計(jì),將各部分封裝后連接成數(shù)字鐘的整體電路圖如2-16所示。詳細(xì)見手繪電路圖。圖2-162.5單元電路功能測(cè)試 下面各個(gè)部分電路的功能測(cè)試都是在Foundation3.1上仿真的結(jié)果。2.5

30、.1 分頻器 F1Hz將2KHz分成1K Hz,500Hz,以及1Hz圖2-17由2-17圖可見1KHz信號(hào)的周期是輸入CP脈沖的2倍,500Hz信號(hào)的周期是1KHz的2倍,可見分頻是正確的。下圖2-18將仿真的步長(zhǎng)改成2us而輸入CP的周期為1ns,經(jīng)過2us就有一個(gè)脈沖,這個(gè)輸出可以接到秒計(jì)數(shù)器的CP端。圖2-182.5.1 秒計(jì)數(shù)器秒計(jì)數(shù)器與分計(jì)數(shù)器的功能是以60為周期計(jì)數(shù),當(dāng)計(jì)數(shù)到59的時(shí)候產(chǎn)生進(jìn)位信號(hào)輸出到下一級(jí)計(jì)數(shù)器。仿真結(jié)果如圖2-19所示。圖2-19由圖可以看出個(gè)位以10為周期進(jìn)位,在計(jì)數(shù)到59后進(jìn)位信號(hào)CO產(chǎn)生一個(gè)上升沿。實(shí)現(xiàn)了預(yù)期的計(jì)數(shù)與進(jìn)位功能。2.5.2 24進(jìn)制計(jì)數(shù)器

31、與60進(jìn)制計(jì)數(shù)器相似,其計(jì)數(shù)周期為24 ,在計(jì)到23后變成00。仿真結(jié)果如圖2-30。圖2-30圖中藍(lán)色豎線的地方就是進(jìn)位的地方,可見在23后計(jì)數(shù)器變成00,功能正確。25.3 12與24小時(shí)轉(zhuǎn)換電路功能仿真此電路要就將24小時(shí)進(jìn)制的時(shí)間轉(zhuǎn)換成12小時(shí)進(jìn)制的時(shí)間。為了檢測(cè)仿真的結(jié)果,輸入“14”此時(shí)的結(jié)果應(yīng)該輸出“02”,同時(shí)AMORPM輸出的應(yīng)該是低電平。圖2-31是仿真結(jié)果。圖2-312.5.4 四位動(dòng)態(tài)顯示四位動(dòng)態(tài)顯示在一個(gè)CP計(jì)數(shù)脈沖中顯示的是輸入的一位數(shù)字,以四為周期循環(huán)顯示輸入的四位數(shù)字。輸入1234,圖2-32是仿真后的結(jié)果。圖2-322.3.6 整點(diǎn)與學(xué)號(hào)報(bào)時(shí)電路功能測(cè)試整點(diǎn)與

32、學(xué)號(hào)報(bào)時(shí)電路要求在59分以及學(xué)號(hào)(1426)前一分鐘的51秒,53秒,55秒,57秒輸出500Hz信號(hào),59秒時(shí)輸出1KHz的信號(hào)。圖2-33是在14點(diǎn)25分51秒的時(shí)候仿真的波形圖。此時(shí)應(yīng)該輸出500Hz的信號(hào)。 圖2-33由圖可見OUTF輸出端與500Hz信號(hào)的輸出波形相同。再測(cè)試整點(diǎn)報(bào)時(shí)在59分59秒時(shí)的波形圖。如圖2-34所示。圖2-34此時(shí)OUTF輸出的波形與1KHz相同,整點(diǎn)與學(xué)號(hào)報(bào)時(shí)功能測(cè)試通過。2.3.7鬧鐘功能測(cè)試將鬧鐘設(shè)置成18點(diǎn)18分,然后調(diào)整時(shí)鐘的時(shí)間,觀察波形如圖2-35所示。圖2-35圖中藍(lán)色豎線的地方時(shí)鐘時(shí)間為18點(diǎn)18分,與鬧鐘時(shí)間相同,鬧鐘輸出端變成高電平,接

33、到外面的控制電路即可輸出1KHZ的高頻信號(hào)。測(cè)試鬧鐘功能正確。2.3.8 校時(shí)校分功能測(cè)試 數(shù)字鐘在剛接通電源或者走時(shí)出現(xiàn)誤差的時(shí)候需要進(jìn)行校時(shí),校分,以調(diào)整時(shí)間。在控制端輸入高電平時(shí)電路進(jìn)入校時(shí)狀態(tài),輸入一個(gè)脈沖時(shí)或分的計(jì)數(shù)器則增加一。在調(diào)整時(shí)的時(shí)候不影響分與秒的走時(shí),在調(diào)整分的時(shí)候不影響秒的走時(shí)。測(cè)試波形圖如圖2-36所示。圖2-36測(cè)試波形在前90us中校時(shí)與校分控制端都接入高電平所以在輸入的脈沖信號(hào)有一個(gè)上升沿時(shí)時(shí)與分就都增加1,而在90時(shí)us后校分的控制端接低電平,由波形圖可以看出,此后只有時(shí)的計(jì)數(shù)器在輸入脈沖到上升沿時(shí)才增加1,而分的計(jì)數(shù)器保持不變。校時(shí)功能仿真結(jié)果正確。2.6 整

34、體電路功能測(cè)試在進(jìn)行了各個(gè)單元電路的測(cè)試后,接下來進(jìn)行整體電路功能測(cè)試,測(cè)試步驟如下:1. 調(diào)整時(shí)間到13點(diǎn)24分,即進(jìn)行校時(shí)校分。2. 顯示12小時(shí)進(jìn)制時(shí)間以及上下午指示,檢測(cè)12與24進(jìn)制轉(zhuǎn)換。3. 調(diào)整時(shí)間到14點(diǎn)25分,檢測(cè)計(jì)數(shù)器進(jìn)位功能。4. 檢測(cè)學(xué)號(hào)報(bào)時(shí)功能在14點(diǎn)25分51秒,53秒,55秒,57秒輸出500Hz信號(hào),在59秒時(shí)輸出1KHz信號(hào)。5. 調(diào)整時(shí)間到15點(diǎn)59分檢測(cè)整點(diǎn)報(bào)時(shí)功能。6. 調(diào)整鬧鐘到16點(diǎn)16分檢測(cè)鬧鐘報(bào)時(shí)功能。整體功能仿真結(jié)果如下:如圖2-37藍(lán)色豎線顯示的時(shí)間是10點(diǎn)16分44秒,在下一個(gè)時(shí)刻將ADJUSTH控制開關(guān)置為1,此時(shí)調(diào)整時(shí)的計(jì)時(shí),可以看到當(dāng)

35、INSINGLECP有一個(gè)上升沿的時(shí)候時(shí)的技術(shù)就加1。在將時(shí)調(diào)整到13點(diǎn)的時(shí)候,將ADJUSTH控制開關(guān)置為0,將校分控制開關(guān)置為1此時(shí)為校分,由圖可以看出每當(dāng)INSINGLECP出現(xiàn)一個(gè)上升沿的時(shí)候分的計(jì)數(shù)就加1一直調(diào)整到13點(diǎn)24分,將ADJUSTM控制開關(guān)也置為0進(jìn)行正常計(jì)時(shí)。同時(shí)在圖中也可以看出在10點(diǎn)16分44秒的時(shí)候AMOPAD輸出的是1,PMOPAD輸出的是0表示上午。而在13點(diǎn)24分的時(shí)候PMOPAD輸出的是1,AMOPAD輸出的是0表示下午。至此校時(shí)校分功能,以及上下午顯示功能均測(cè)試通過。圖2-37接下來將控制開關(guān)CHOOSE12OR24開關(guān)置為0,此時(shí)顯示的應(yīng)該是12小時(shí)進(jìn)

36、制的時(shí)間。接下來的時(shí)刻應(yīng)該顯示下午1點(diǎn)24分。如圖2-38中藍(lán)色豎線處所示。圖2-38然后將時(shí)間調(diào)整到14點(diǎn)25分,在51,53,55,57秒時(shí)JTCLOCKOUT輸出的波形與500Hz的波形相同且持續(xù)時(shí)間達(dá)到一秒,如圖2-39所示。圖2-39當(dāng)達(dá)到14點(diǎn)25分59秒時(shí)JTCLOCKOUT輸出的波形與1KHz的相同,當(dāng)?shù)?4點(diǎn)26分00秒時(shí)結(jié)束。如圖2-40所示。 圖2-40接下來調(diào)整時(shí)間到15點(diǎn)59分檢測(cè)整點(diǎn)報(bào)時(shí)功能。如圖2-41所示,在51,53,55,57秒的時(shí)候輸出的是500Hz的波形,在59秒時(shí)輸出的是1KHz的波形。如圖2-41所示。 圖2-41接下來測(cè)試鬧鐘功能,首先將顯示鬧鐘控

37、制開關(guān)CHOOSEDTXS置為1調(diào)整鬧鐘時(shí)間到16點(diǎn)16分然后觀察在時(shí)鐘到16點(diǎn)16分CLOCLKRING輸出的信號(hào)。觀測(cè)結(jié)果如圖2-42所示??梢娫?6點(diǎn)16分00秒時(shí)CLOCLKRING的輸出波形與1KHz的輸出波形相同。鬧鐘功能通過測(cè)試。圖2-42至此整體功能測(cè)試已經(jīng)結(jié)束。綜上可見數(shù)字電子鐘整體功能均已實(shí)現(xiàn)。2.7 實(shí)驗(yàn)完成情況電路功能完成情況計(jì)時(shí)24小時(shí)轉(zhuǎn)換成12小時(shí)顯示時(shí)間與上下午校時(shí)校分鬧鐘整點(diǎn)報(bào)時(shí)學(xué)號(hào)報(bào)時(shí)分頻與秒閃本次實(shí)驗(yàn)中要求的功能均已完成達(dá)到了設(shè)計(jì)的要求。第三章 原件清單74160 15個(gè)74153 19個(gè)74139 1個(gè)74161 1個(gè)與非門7個(gè)與門9個(gè)同或門16個(gè)或門1個(gè)

38、第四章 結(jié)束語 為期兩周的課程設(shè)計(jì)結(jié)束了,在這里我要說一下我的感受以及我發(fā)現(xiàn)的問題。這次課程設(shè)計(jì)是第一次將所學(xué)的知識(shí)綜合應(yīng)用到實(shí)際中去,剛開始拿到這個(gè)課程設(shè)計(jì)題目的時(shí)候感覺無從下手,因?yàn)閷W(xué)習(xí)數(shù)字電路已經(jīng)有一年多了,很多東西都有些遺忘了。在看了實(shí)驗(yàn)書上的基本實(shí)驗(yàn)框圖后,才感覺有了一些眉目。在實(shí)驗(yàn)課第一天結(jié)束后回到宿舍預(yù)習(xí)實(shí)驗(yàn)內(nèi)容時(shí)感覺很吃力,只做好了一個(gè)分頻器,60進(jìn)制的計(jì)數(shù)器以及一個(gè)24進(jìn)制的計(jì)數(shù)器??僧?dāng)把預(yù)習(xí)報(bào)告交上去后,老師說全班交上去所有的實(shí)驗(yàn)報(bào)告都在50分以下,大家設(shè)計(jì)的連三分之一都不到。這時(shí)感覺此次課程設(shè)計(jì)并不輕松。在實(shí)驗(yàn)的前三天迅速把數(shù)字電路的相關(guān)知識(shí)學(xué)習(xí)了一下,又到圖書館借閱了基本跟EDA有關(guān)的書籍,這才找到了一點(diǎn)感覺。 實(shí)驗(yàn)進(jìn)行到第五天我才連出了一個(gè)可以計(jì)時(shí)的電路,只有一個(gè)附加功能整點(diǎn)報(bào)時(shí)與學(xué)號(hào)報(bào)時(shí)。每天幾乎是除了吃飯睡覺就是對(duì)著電腦畫電路,此時(shí)電路還沒有什么進(jìn)展,心里有了很大的挫敗感,因?yàn)?/p>

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論