



版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、.第二章EDA 實(shí)驗(yàn)內(nèi)容實(shí)驗(yàn)一可置數(shù)的 10 位計(jì)數(shù)器的設(shè)計(jì)一、實(shí)驗(yàn)?zāi)康?:熟悉 Lattice 公司的 ISPexpert 軟件,掌握采用 EDA 技術(shù)進(jìn)行設(shè)計(jì)的過程,學(xué)會(huì)使用用 VHDL 語言進(jìn)行電路設(shè)計(jì) 。二、實(shí)驗(yàn)內(nèi)容 :1、編寫可置數(shù)的 10 位計(jì)數(shù)器的 VHDL 程序 。2、進(jìn)行邏輯編譯 、綜合和優(yōu)化 。3、進(jìn)行軟件仿真 。三、實(shí)驗(yàn)步驟 :1、建立新目錄 :如 e:ispexpert。2、啟動(dòng) ispDesign Expert:選擇 “開 始 程 序 LatticeSemiconductor ispDesignExpert ”。 進(jìn) 入ispEXPERT System Project
2、 Navigator(項(xiàng)目瀏覽器 )主窗口。3、創(chuàng)建一個(gè)新的設(shè)計(jì)項(xiàng)目 :在 ispEXPERT SystemProjectNavigator主窗口中 , 選擇FileNewProject ,建立一個(gè)新的 工程文件 。 此時(shí)會(huì)彈出如下對(duì)話框。注意:在該對(duì)話框中的.專業(yè)資料 .Project Type 欄中,必須根據(jù)設(shè)計(jì)類型選擇相應(yīng)的工程文件的類型。將該工程文件保存在 E:ispexpert路徑下,取名 ls160.syn 。4、項(xiàng)目命名 :用鼠標(biāo)雙 擊Untitled ,出 現(xiàn)對(duì) 話框,在Title文 本 框 中 輸 入 “l(fā)s160Project”,按 OK。.專業(yè)資料 .5、選擇器件 :雙擊
3、ispLSI15256VE-165LF256 ,出現(xiàn)Device Selector對(duì)話框,選擇 ispLSI 1k Device ispLSI 1032Eispls1032E-70LJ84 ,按 OK 按鈕 。6、在設(shè)計(jì)中增加可置數(shù)的10 位計(jì)數(shù)器 VHDL 源文件:(1)從菜單上選擇 “Source new ”(2)在 New Source 主窗口中 ,選擇 VHDL Module 類型 。按 OK 后,產(chǎn)生 New VHDL Sourse 對(duì)話框,在對(duì)話框的各欄中 ,分別添入如圖所示的信息 。按 OK 鈕后,進(jìn)入文本編輯 Text Editor 編輯 VHDL 文件 。.專業(yè)資料 .(3)
4、 在 Text Editor 中輸入可置數(shù)的 10 位計(jì)數(shù)器的 VHDL 設(shè)計(jì) 。 LIBRARY ieee;USE ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY ls160 ISPORT(data: in std_logic_vector(3 downto 0); clk,ld,p,t,clr:in std_logic;count: buffer std_logic_vector(3 downto 0);tc:out std_logic);END ls160;ARCHITECTURE behavior OF ls
5、160 ISBEGINtc=1 when (count=1001 and p=1 and t=1 and ld=1 and clr=1) else 0;process(clk,clr,p,t,ld)beginif(rising_edge(clk) thenif(clr=1)thenif(ld=1)thenif(p=1)thenif(t=1)thenif(count=1001)thencount=0000;elsecount=count+1;end if;elsecount=count;end if;elsecount=count;end if;elsecount=data;.專業(yè)資料 .end
6、 if;elsecount=0000;end if;end if;end process ;END behavior;保存以后 ,在 ispEXPERT System Project Navigator 主窗口左側(cè)將顯示源程序 ls160.vhd 文件已被自動(dòng)調(diào)入 。單擊源程序區(qū)中的 ispLS1032E70LT84 欄,此時(shí)的 ispEXPERT System Project Navigator 主窗口如下所示 :7、編譯、綜合:(1)選擇信息窗口中的器件 ,然后雙擊右側(cè)流程窗口中的 Merged EDIF Netlist ,生成網(wǎng)表文件 ,供邏輯綜合 、功能仿真用 。(2)選擇 Tools
7、Synplicity Synplify Synthesis對(duì)文件進(jìn)行編譯 、綜合。.專業(yè)資料 .按 RUN 按鈕,進(jìn)行編譯和綜合 。完成以后會(huì)在該窗口中顯示編譯的信息 錯(cuò)誤、警告、注意 。若此過程中出錯(cuò) ,雙擊上述 Synplify 窗口中 SourseFile 欄中的ls160.vhd文件,進(jìn)行修改并存盤 ,然后按RUN 鈕重新編譯 。(注: warning,notes信息可忽略 ,即關(guān)閉該窗口 。)8、功能仿真 :通過 VHDL 邏輯綜合過程后 ,就可對(duì)設(shè)計(jì)進(jìn)行功能仿真。(1)打開項(xiàng)目管理器 (Project Navigator),選擇 SourceNEW 。(2)選擇 Waveform
8、Stimulus ,按 OK。(3)出現(xiàn) Associate Waveform Stimulus窗口,選擇任意一項(xiàng) ,按 OK。( 5)出現(xiàn) New Waveform Stimulus 窗口,鍵入文件名 ls160 ,將自動(dòng)生成ls160.wbl文件,按 OK 按鈕后進(jìn)入波形編輯 (Waveform Edit ),并彈出波形.專業(yè)資料 .編輯子窗口 (Nothing Selected)(也可以選擇選擇Object Edit Mode )。(5)選擇 Edit New Wave,點(diǎn)選 input ,鍵入輸入信號(hào)clk ,然后點(diǎn)擊 Add添加信號(hào) ,如下圖。依次鍵入輸入信號(hào)(6)可以在菜單 vie
9、w 中可選擇 zoomZ 符號(hào),按右鍵結(jié)束 。clr ,ld ,p,t 。來放大和縮小圖形 ,此時(shí)鼠標(biāo)上將出現(xiàn)(7)點(diǎn)選 CLK 信號(hào),如圖平行拖動(dòng)鼠標(biāo)后如下圖所示 ,在 Duration 中鍵入 10us ,在 states 下點(diǎn)選 LOW ,在次波形旁點(diǎn)擊鼠標(biāo) ,在 Duration 中鍵入10us,在 states 下點(diǎn)選 High ,此波形畫完后拖動(dòng)鼠標(biāo)選中此波形,在 Repeat中鍵入 15,然后回車 。.專業(yè)資料 .( 8)依次對(duì) P, T, CLR,LD 編輯,平行拖動(dòng)鼠標(biāo) ,(在 Duration 中鍵入 150ps )如圖所示 :(9)存盤后退出 。(10)點(diǎn)選左窗口的 ls
10、160.wbl ,雙擊右窗口的 functional simulation 。出現(xiàn)如下對(duì)話框 :(11)在菜單 tools 下選擇 waveform viewer ,在 waveform viewer 中選擇 Edit Show , 點(diǎn) 擊 BUS , 打 開 如 右 圖 窗 口 , 在 需 顯 示 信 號(hào) 中 拖 選 COUNT0 ,COUNT1 , COUNT2 , COUNT3 ,點(diǎn)擊 Add Nets 按鈕,點(diǎn)擊 Save Bus 按鈕,點(diǎn)擊左圖的 Show 按鈕,選擇 tc 信號(hào),按 Show 按鈕顯示該信號(hào) 。點(diǎn)選菜單 FILE,選擇 SAVE 進(jìn)行保存 。.專業(yè)資料 .(12)點(diǎn)
11、擊 simulator control panel 窗口中的 RUN 按鈕 ,或選擇 Simulate Run 將在 waveform viewer 窗口中顯示如下圖 :9、適配、管腳鎖定 :( 1 )選擇信息窗口中的器件 ,然后雙擊右側(cè)流程窗口中的 Comstraint Manager ,進(jìn)入 ispEXPERT compiler 環(huán)境,出現(xiàn)如下窗口 :(2)從 Assign 菜單中選擇 Pin Location ,進(jìn)行管腳鎖定 。 在未鎖定管腳列表“ unsigned區(qū)可”看到在該設(shè)計(jì)中的輸入/ 輸出信號(hào) ,若希望將輸入信號(hào) ”CLK“鎖.專業(yè)資料 .定在 ISPLS1032-70LJ84
12、 的 20 號(hào)管腳,只需在 ”UNSIGNED “區(qū)選中 ”CLK“(鼠標(biāo)左鍵單擊之 ),然后在最右邊的ispLS1032E-70LJ84 第 20 號(hào)腳上雙擊鼠標(biāo)左鍵即可。若想刪除一個(gè)鎖定 ,只需在已鎖定的管腳上雙擊鼠標(biāo)左鍵即可。仿此法鎖定 : CLK20 , CLR 36 , data(0) 41 , data(1) 40 , data(2) 39 ,data(3) 38,LD 37,P 34,T 35,Count(0) 71,Count(1) 70,Count(2) 69 , ,Count(3) 68 ,tc 53。10、編譯、適配:在 ispEXPERT compiler 環(huán)境中,執(zhí)行 Tools compile 命令,進(jìn)行編譯和適配,生成 JED 文件,供下載用 。在 ispexpert Compiler 窗口中的 View 菜單下選擇 ispSmart Flow ,打開編輯流程 。11、時(shí)序分析和時(shí)序仿真 :.專業(yè)資料 .選擇信息窗口中的器件,然后雙擊右側(cè)流程窗口中的TimingAnalysis ,自動(dòng)執(zhí)行時(shí)序分析 ,然后可以在時(shí)序資源
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 財(cái)務(wù)管理b卷試題及答案
- 2019-2025年消防設(shè)施操作員之消防設(shè)備高級(jí)技能考前沖刺模擬試卷A卷含答案
- 2019-2025年消防設(shè)施操作員之消防設(shè)備中級(jí)技能考試題庫
- 工程熱力學(xué)應(yīng)用測(cè)試及答案
- 農(nóng)業(yè)現(xiàn)代化種植標(biāo)準(zhǔn)化體系建設(shè)方案
- 客戶咨詢與需求記錄表
- 傳統(tǒng)文化在初中英語課中深度融入教案
- 儀器設(shè)備使用說明及維護(hù)保養(yǎng)指導(dǎo)書
- 美容美發(fā)服務(wù)安全責(zé)任協(xié)議書
- 《小學(xué)數(shù)學(xué)幾何圖形識(shí)別與性質(zhì)理解教學(xué)方案》
- 打井工程施工合同范本
- 2025年岳陽職業(yè)技術(shù)學(xué)院?jiǎn)握新殬I(yè)技能測(cè)試題庫1套
- 《采購(gòu)管理策略》課件
- 《校園安全教育(第二版)》 課件 項(xiàng)目一 走進(jìn)安全教育;項(xiàng)目二 維護(hù)校園治安
- 高標(biāo)準(zhǔn)農(nóng)田建設(shè)項(xiàng)目驗(yàn)收技術(shù)方案
- 人效的指標(biāo)體系及其“落地雙引擎”
- 醫(yī)學(xué)三基知識(shí)考試題庫及答案(護(hù)理+臨床)
- 《教育強(qiáng)國(guó)建設(shè)規(guī)劃綱要(2024-2035年)》解讀講座
- 《義務(wù)教育語文課程標(biāo)準(zhǔn)》2022年修訂版原版
- 平面構(gòu)成(普通高等院校藝術(shù)設(shè)計(jì)專業(yè))全套教學(xué)課件
- 重慶市城鎮(zhèn)老舊小區(qū)改造和社區(qū)服務(wù)提升項(xiàng)目管理辦法(試行)
評(píng)論
0/150
提交評(píng)論