EDA技術(shù)課程設(shè)計(jì)報(bào)告-鍵盤(pán)掃描與數(shù)碼管及點(diǎn)陣顯示設(shè)計(jì)_第1頁(yè)
EDA技術(shù)課程設(shè)計(jì)報(bào)告-鍵盤(pán)掃描與數(shù)碼管及點(diǎn)陣顯示設(shè)計(jì)_第2頁(yè)
EDA技術(shù)課程設(shè)計(jì)報(bào)告-鍵盤(pán)掃描與數(shù)碼管及點(diǎn)陣顯示設(shè)計(jì)_第3頁(yè)
EDA技術(shù)課程設(shè)計(jì)報(bào)告-鍵盤(pán)掃描與數(shù)碼管及點(diǎn)陣顯示設(shè)計(jì)_第4頁(yè)
EDA技術(shù)課程設(shè)計(jì)報(bào)告-鍵盤(pán)掃描與數(shù)碼管及點(diǎn)陣顯示設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩27頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 課 程 設(shè) 計(jì)課程名稱(chēng)課程名稱(chēng) eda 技術(shù)技術(shù) 課題名稱(chēng)課題名稱(chēng) 鍵盤(pán)掃描與數(shù)碼管及點(diǎn)陣顯示設(shè)計(jì)鍵盤(pán)掃描與數(shù)碼管及點(diǎn)陣顯示設(shè)計(jì) 專(zhuān)專(zhuān) 業(yè)業(yè) 電子科學(xué)與技術(shù)電子科學(xué)與技術(shù) 班班 級(jí)級(jí) 0802 學(xué)學(xué) 號(hào)號(hào) * 姓姓 名名 * 指導(dǎo)教師指導(dǎo)教師 * 2011 年年 6 月月 20 日日 湖南工程學(xué)院課程設(shè)計(jì)任務(wù)書(shū)課程名稱(chēng)課程名稱(chēng): eda 技術(shù) 題題 目目:鍵盤(pán)掃描與數(shù)碼管及點(diǎn)陣顯示設(shè)計(jì) 專(zhuān)業(yè)班級(jí):電科 0802 學(xué)號(hào): * 學(xué)生姓名: * 指導(dǎo)老師: * 審 批:任務(wù)書(shū)下達(dá)日期 2011 年 6 月 13 日設(shè)計(jì)完成日期 2011 年 6 月 20 日設(shè)計(jì)內(nèi)容與設(shè)計(jì)要求一設(shè)計(jì)內(nèi)容:1 設(shè)計(jì)并

2、調(diào)試鍵盤(pán)掃描與數(shù)碼管顯示電路;2 鍵盤(pán)為 3*4,數(shù)碼管為 7 段 8 位;3 以數(shù)字形式顯示鍵盤(pán) 16 個(gè)輸入鍵的識(shí)別;4 外設(shè)置控制開(kāi)關(guān)和防抖動(dòng)電路;5 功能擴(kuò)展(自選):彩燈狀態(tài)的轉(zhuǎn)換以及點(diǎn)陣字符的顯示 二設(shè)計(jì)要求:1.設(shè)計(jì)思路清晰,整體設(shè)計(jì)給出框圖,提供頂層電路圖;2.應(yīng)用 vhdl 完成各次級(jí)模塊設(shè)計(jì),繪出具體設(shè)計(jì)程序;3.完成設(shè)計(jì)仿真和程序下載; 4.寫(xiě)出設(shè)計(jì)報(bào)告主要設(shè)計(jì)條件1提供所需元件及芯片;2提供電源和調(diào)試設(shè)備;3提供 ewb 設(shè)計(jì)軟件說(shuō)明書(shū)格式1 課程設(shè)計(jì)封面;2 設(shè)計(jì)仿真;3 編程下載;4 總結(jié)與體會(huì);5 附錄;6 參考文獻(xiàn)。7 任務(wù)書(shū);8 說(shuō)明書(shū)目錄;9 設(shè)計(jì)總體思路;

3、10 單元電路設(shè)計(jì)程序;進(jìn) 度 安 排月 日 日 課題電路設(shè)計(jì)。 月 日日 總體電路設(shè)計(jì)和子模塊設(shè)計(jì) 月 日 日 軟件仿真和聯(lián)線。 月 日 日 電路調(diào)試 月 日 寫(xiě)設(shè)計(jì)報(bào)告,打印相關(guān)圖紙,月 日 答辯; 參 考 文 獻(xiàn)一 電子技術(shù)與 eda 技術(shù)課程設(shè)計(jì) 郭照南 主編中南大學(xué)出版社0目錄目錄第第 1 章章 總體方案設(shè)計(jì)分析總體方案設(shè)計(jì)分析.11.1 基本設(shè)計(jì)思路.11.2 總體框圖.1第第 2 章章 各級(jí)模塊設(shè)計(jì)與分析各級(jí)模塊設(shè)計(jì)與分析.22.1 鍵盤(pán)掃描程序設(shè)計(jì)及仿真.22.2 點(diǎn)陣顯示程序設(shè)計(jì)及仿真.52.3 彩燈顯示程序設(shè)計(jì)及仿真.112.4 數(shù)碼管掃描程序設(shè)計(jì)及仿真.14第第 3 章章

4、 系統(tǒng)總電路設(shè)計(jì)與仿真系統(tǒng)總電路設(shè)計(jì)與仿真.163.1 系統(tǒng)總電路模塊圖.163.2 總電路仿真波形.163.3 系統(tǒng)總體電路圖.17第第 4 章章 軟件的調(diào)試與下載軟件的調(diào)試與下載.184.1 引腳鎖定.184.2 引腳鎖定后總電路圖.194.3 程序下載界面.194.4 系統(tǒng)總體仿真結(jié)果.20第第 5 章章 總結(jié)與體會(huì)總結(jié)與體會(huì).21第第 6 章章 參考文獻(xiàn)參考文獻(xiàn).22第第 7 章章 附錄附錄.237.1 點(diǎn)陣字符顯示效果圖.237.2 彩燈顯示結(jié)果.231第第 1 章章 總體方案設(shè)計(jì)分析總體方案設(shè)計(jì)分析1.1 基本設(shè)計(jì)思路基本設(shè)計(jì)思路首先利用鍵盤(pán)掃描程序完成鍵盤(pán)掃描及按鍵輸出值的輸出,

5、同時(shí)在其中加入按鍵消抖程序,以保證按鍵每次按下的鍵值都是有效準(zhǔn)確的理想輸出信號(hào)。同時(shí)通過(guò)按鍵掃描的輸出定義兩個(gè)控制信號(hào)量(程序中即xuanze 和 dz 兩信號(hào))以通過(guò)按鍵的按下來(lái)達(dá)到控制彩燈狀態(tài)切換以及點(diǎn)陣字符顯示切換的目的。其中,定義了兩個(gè)彩燈控制信號(hào),以用來(lái)選擇彩燈模塊中兩種彩燈顯示狀態(tài)的切換,而點(diǎn)陣控制信號(hào)則定義了五個(gè)狀態(tài)以控制點(diǎn)陣顯示的五個(gè)狀態(tài)的切換。從而整個(gè)系統(tǒng)則通過(guò)鍵盤(pán)掃描程序中的兩個(gè)控制信號(hào)連接成了一個(gè)整體。最后就將鍵盤(pán)掃描的輸出信號(hào)連接到數(shù)碼管顯示模塊,彩燈模塊連接到 8 個(gè) led 燈,而點(diǎn)陣模塊的輸出則接入到點(diǎn)陣上。再在現(xiàn)有的基礎(chǔ)上加入清零信號(hào) cr。綜上,整個(gè)系統(tǒng)的功能

6、即得以實(shí)現(xiàn)。1.2 總體框圖總體框圖2圖 1.1 系統(tǒng)總體框圖第第 2 章章 各級(jí)模塊設(shè)計(jì)與分析各級(jí)模塊設(shè)計(jì)與分析2.1 鍵盤(pán)掃描程序設(shè)計(jì)及仿真鍵盤(pán)掃描程序設(shè)計(jì)及仿真2.1.1 基本設(shè)計(jì)思路基本設(shè)計(jì)思路本模塊采用行輸入,列輸出,并定義一個(gè)五位的矢量信號(hào) count,此矢量通過(guò)時(shí)鐘脈沖的輸入計(jì)數(shù),并且定義一個(gè)信號(hào)量 sel 并取其中間兩位,以此兩位構(gòu)成的四個(gè)狀態(tài)進(jìn)行鍵盤(pán)列掃描,同時(shí)再在另外一個(gè)進(jìn)程中通過(guò)三個(gè)條件語(yǔ)句的選擇判斷以確定三個(gè)行鍵中哪個(gè)按下,并結(jié)合列掃描中 sel 所取的四個(gè)狀態(tài)共同連接賦值給一個(gè)四位矢量 knum,并由此確定了鍵盤(pán)的 12 個(gè)狀態(tài)。最后利用另外一個(gè)進(jìn)程中對(duì) knum 值

7、的判斷從而確定對(duì)應(yīng)的按鍵值的輸出,以及其他各控制鍵值的信號(hào)輸出(其中包括,控制彩燈狀態(tài)的控制信號(hào) xuanze,以及控制點(diǎn)陣顯示狀態(tài)的控制信號(hào)dz) 。2.1.2 鍵盤(pán)掃描模塊圖鍵盤(pán)掃描模塊圖clkcrkin1kin2kin3xuanze1.0dz2.0keyv3.0keycode4.0keyscaninst1圖 2.1.1 鍵盤(pán)掃描模塊圖3圖中 clk 接時(shí)鐘脈沖,cr 是復(fù)位端,kin1,kin2,kin3 為行掃描輸入,xuanze 為彩燈控制信號(hào),dz 為點(diǎn)陣控制信號(hào),keyv 為列輸出,keycode為按鍵顯示數(shù)值輸出。2.1.3 鍵盤(pán)掃描程序鍵盤(pán)掃描程序library ieee;

8、use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity keyscan is port(clk ,cr : in std_logic; -掃描時(shí)鐘輸入 kin1,kin2,kin3 : in std_logic; -按鍵行掃描輸入 xuanze : out std_logic_vector(1 downto 0); dz:out std_logic_vector(2 downto 0); keyv: out std_logic_vector(3 down

9、to 0);-按鍵列掃描 keycode : out std_logic_vector(4 downto 0) ); -段碼顯示輸出 end keyscan; architecture a of keyscan is signal sel : std_logic_vector(1 downto 0); signal knum : std_logic_vector(3 downto 0); signal count : std_logic_vector(4 downto 0); signal count0 : std_logic; begin sel=count(3 downto 2); cou

10、nt0=count(0); p1: process(clk) -產(chǎn)生掃描信號(hào) begin if clkevent and clk=1 then count keyv keyv keyv keyv keyv=1111; end case ; end process; p3: process(count0,count,kin1,kin2,kin3) -查鍵值 begin if (cr=0) then knum=1 & 1& count(3 downto 2); elsif count0event and count0=1 then if(kin3=0) and count(1)=0 then -第

11、三行有鍵按下時(shí) knum=1 & 0& count(3 downto 2); elsif(kin2=0) and count(1)=0 then -第二行有鍵按下時(shí) knum=0 & 1 & count(3 downto 2); elsif (kin1=0) and count(1)=0 then -第一行有鍵按下時(shí) knum=0 & 0 & count(3 downto 2); end if; end if; end process; p4:process(knum) -鍵值譯碼顯示輸出 begin if cr=0 then xuanze=11;dz keycode=00000;xuanze

12、=00;dz keycode=00001;xuanze=00;dz keycode=00010;xuanze=00;dz keycode=00011;xuanze=00;dz keycode=00100;xuanze=00;dz keycode=00101;xuanze=00;dz keycode=00110;xuanze=00;dz keycode=00111;xuanze=00;dz keycode=01000;xuanze=00;dz keycode=01001;xuanze=00;dz keycode=01010;xuanze=01;dz keycode=01011;xuanze=10

13、;dz keycode=01100;xuanze=00;dz=000; end case ; end if; end process; end a;2.1.4 鍵盤(pán)掃描仿真波形鍵盤(pán)掃描仿真波形圖 2.1.2 鍵盤(pán)掃描仿真波形2.2 點(diǎn)陣顯示程序設(shè)計(jì)及仿真點(diǎn)陣顯示程序設(shè)計(jì)及仿真2.2.1 基本設(shè)計(jì)思路基本設(shè)計(jì)思路 程序通過(guò)鍵盤(pán)掃描程序中的點(diǎn)陣輸出控制信號(hào) dz 來(lái)控制點(diǎn)陣字符顯示的狀態(tài),然后定義點(diǎn)陣的行列為輸出類(lèi)型,通過(guò)六個(gè) case 語(yǔ)句以及 16個(gè)顯示狀態(tài)的循環(huán)掃描來(lái)達(dá)到字符顯示效果。最終結(jié)果,通過(guò) 6 個(gè)按鍵的控制分別輸出“湖” , “南” , “工” , “程” , “學(xué)” , “院”六

14、個(gè)字符。62.2.2 點(diǎn)陣顯示模塊圖點(diǎn)陣顯示模塊圖clkcrdz2.0hang15.0lie3.0dianzhenginst2圖 2.2.1 點(diǎn)陣顯示模塊圖其中 clk 接時(shí)鐘脈沖,cr 接復(fù)位端,dz 接從鍵盤(pán)掃描程序中輸出的點(diǎn)陣控制信號(hào),hang,lie 分別定義為點(diǎn)陣的行列的 20 個(gè)輸入引腳。2.2.3 點(diǎn)陣顯示程序點(diǎn)陣顯示程序library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianzheng isport(

15、clk ,cr : in std_logic; -掃描時(shí)鐘輸入 dz : in std_logic_vector(2 downto 0); hang : out std_logic_vector(15 downto 0); lie : out std_logic_vector(3 downto 0);end entity dianzheng;architecture behav of dianzheng issignal sel : std_logic_vector(3 downto 0);signal count : std_logic_vector(4 downto 0);type zhu

16、angtai is (s0,s1,s2,s3,s4,s5); signal current_state, next_state: zhuangtai; beginsel=count(3 downto 0);p0: process(clk) -產(chǎn)生掃描信號(hào) begin if cr=0 then count=00000;7 elsif clkevent and clk=1 then count=count+1; if( count=10000) then count=00000;end if; current_state if(dz=001) then case sel is when 0000=

17、 lie=1111;hang lie=1110;hang lie=1101;hang lie=1100;hang lie=1011;hang lie=1010;hang lie=1001;hang lie=1000;hang lie=0111;hang lie=0110;hang lie=0101;hang lie=0100;hang lie=0011;hang lie=0010;hang lie=0001;hang lie=0000;hang count=00000;hang=0000000000000000; end case ; next_state=s0; elsif(dz=010)

18、then next_state=s1; elsif(dz=011)then lie=1111;hang=0000000000000000;next_state=s2; elsif(dz=100)then lie=1111;hang=0000000000000000;next_state=s3; elsif(dz=000)then lie=1111;hang=0000000000000000;next_state=s4; elsif(dz=111)then 8lie=1111;hang=0000000000000000;next_state case sel is when 0000= lie=

19、1111;hang lie=1110;hang lie=1101;hang lie=1100;hang lie=1011;hang lie=1010;hang lie=1001;hang lie=1000;hang lie=0111;hang lie=0110;hang lie=0101;hang lie=0100;hang lie=0011;hang lie=0010;hang lie=0001;hang lie=0000;hang count=00000;hang=0000000000000000; end case ; next_state case sel is when 0000=

20、lie=1111;hang lie=1110;hang lie=1101;hang lie=1100;hang lie=1011;hang lie=1010;hang lie=1001;hang lie=1000;hang lie=0111;hang lie=0110;hang lie=0101;hang lie=0100;hang lie=0011;hang lie=0010;hang lie=0001;hang lie=0000;hang count=00000;hang=0000000000000000; end case ; next_state case sel is when 00

21、00= lie=1111;hang lie=1110;hang lie=1101;hang lie=1100;hang lie=1011;hang lie=1010;hang lie=1001;hang lie=1000;hang lie=0111;hang lie=0110;hang lie=0101;hang lie=0100;hang lie=0011;hang lie=0010;hang lie=0001;hang lie=0000;hang count=00000;hang=0000000000000000; end case ; next_state case sel is whe

22、n 0000= lie=1111;hang lie=1110;hang lie=1101;hang lie=1100;hang lie=1011;hang lie=1010;hang lie=1001;hang lie=1000;hang lie=0111;hang lie=0110;hang lie=0101;hang lie=0100;hang lie=0011;hang lie=0010;hang lie=0001;hang lie=0000;hang count=00000;hang=0000000000000000; end case ; next_state case sel is

23、 when 0000= lie=1111;hang lie=1110;hang lie=1101;hang lie=1100;hang lie=1011;hang lie=1010;hang lie=1001;hang lie=1000;hang lie=0111;hang lie=0110;hang lie=0101;hang lie=0100;hang lie=0011;hang lie=0010;hang lie=0001;hang lie=0000;hang count=00000;hang next_state=s0; end case; end process p1;end beh

24、av;112.2.4 點(diǎn)陣顯示仿真波形點(diǎn)陣顯示仿真波形圖 2.2.2 點(diǎn)陣顯示仿真波形2.3 彩燈顯示程序設(shè)計(jì)及仿真彩燈顯示程序設(shè)計(jì)及仿真2.3.1 基本設(shè)計(jì)思路基本設(shè)計(jì)思路程序通過(guò)鍵盤(pán)掃描程序輸出的彩燈控制信號(hào) xuanze 的控制來(lái)保證兩個(gè)彩燈在兩個(gè)狀態(tài)之間的順利切換,其中一個(gè)狀態(tài)為彩燈向左流水與向右流水的循環(huán)顯示,另一個(gè)狀態(tài)為彩燈向中間流水。整體利用狀態(tài)機(jī)來(lái)實(shí)現(xiàn),并在第一個(gè)狀態(tài)的時(shí)候就利用條件語(yǔ)句對(duì) xuanze 值的判斷從而控制狀態(tài)機(jī)的循環(huán)路徑,從而達(dá)到了控制兩個(gè)彩燈狀態(tài)的目的。2.3.2 彩燈顯示模塊圖彩燈顯示模塊圖clkcrxuanze1.0keycode24.0comb_outp

25、uts7.0leddenginst4圖 2.3.1 彩燈顯示模塊圖12圖中 clk 接時(shí)鐘脈沖,cr 接復(fù)位端,xuanze 接鍵盤(pán)掃描程序的彩燈控制信號(hào)輸出端,comb_outputs 接 8 個(gè) led 燈。2.3.3 彩燈顯示程序彩燈顯示程序library ieee;use ieee.std_logic_1164.all;entity leddeng isport( clk,cr : in std_logic; xuanze : in std_logic_vector(1 downto 0); comb_outputs :out std_logic_vector(7 downto 0);

26、 keycode2:in std_logic_vector(4 downto 0) );end entity leddeng;architecture behav of leddeng is type zhuangtai is (s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14,s15,s16,s17,s18,s19,s20,s21,s22,s23); signal current_state, next_state: zhuangtai; beginp0: process(clk,cr) beginif cr=0 then current_s

27、tate=s0;elsif (clk event and clk=1) then current_state if xuanze=01 then comb_outputs=01111111;next_state=s1; elsif xuanze=10 then next_state=s14; elsif xuanze=00 then next_state=s23;elsif xuanze=11then comb_outputs=11111111;next_state=s23;else comb_outputs=11111111;next_state comb_outputs=10111111;

28、next_state comb_outputs=11011111;next_state comb_outputs=11101111;next_state comb_outputs=11110111;next_state comb_outputs=11111011;next_state comb_outputs=11111101;next_state comb_outputs=11111110;next_state comb_outputs= 11111101;next_state comb_outputs= 11111011;next_state comb_outputs=11110111;n

29、ext_state comb_outputs=11101111;next_state comb_outputs=11011111;next_state comb_outputs=10111111;next_state comb_outputs=11111111;next_state comb_outputs=01111110;next_state comb_outputs=10111101;next_state comb_outputs=11011011;next_state comb_outputs=11100111;next_state comb_outputs=11011011;next

30、_state comb_outputs=10111101;next_state comb_outputs=01111110;next_state comb_outputs=00000000;next_state comb_outputs=11111111;next_state next_state=s0; end case; end process p1;end behav;2.3.4 彩燈顯示仿真波形彩燈顯示仿真波形圖 2.3.2 彩燈顯示仿真波形142.4 數(shù)碼管掃描程序設(shè)計(jì)及仿真數(shù)碼管掃描程序設(shè)計(jì)及仿真2.4.1 基本設(shè)計(jì)思路基本設(shè)計(jì)思路由于本程序中只需要用到一位數(shù)碼管的顯示,因此就無(wú)需

31、數(shù)碼管進(jìn)行動(dòng)態(tài)掃描,因此,直接在程序中選通一位數(shù)碼管即可。并把鍵盤(pán)掃描程序的鍵值輸出信號(hào)直接接到數(shù)碼管掃描程序的輸入信號(hào)端口,再通過(guò)case 語(yǔ)句的選擇即可將對(duì)應(yīng)的數(shù)碼管段值狀態(tài)輸入到數(shù)碼管進(jìn)行相應(yīng)的顯示,實(shí)現(xiàn)系統(tǒng)指定的功能。2.4.2 數(shù)碼管掃描模塊圖數(shù)碼管掃描模塊圖crkeycode14.0sg6.0btscan_ledinst5圖 2.4.1 數(shù)碼管掃描模塊圖圖中 cr 接復(fù)位端,keycode1 接鍵盤(pán)掃描的鍵值輸出信號(hào)端口,sg作為數(shù)碼管七段的控制輸出信號(hào),bt 作為八位數(shù)碼管的位選端。2.4.3 數(shù)碼管掃描程序數(shù)碼管掃描程序library ieee;use ieee.std_log

32、ic_1164.all;use ieee.std_logic_unsigned.all;entity scan_led is port ( cr : in std_logic; keycode1:in std_logic_vector(4 downto 0); sg : out std_logic_vector(6 downto 0);bt : out std_logic ); end;architecture one of scan_led is15beginp1:process( keycode1 ) beginif(cr=0) then bt=0; elsif(cr=1) then bt

33、 sg sg sg sg sg sg sg sg sg sg sg sg sg null ; end case ; end process p1; end;2.4.4 數(shù)碼管掃描仿真波形數(shù)碼管掃描仿真波形圖 2.4.2 數(shù)碼管掃描仿真波形16第第 3 章章系統(tǒng)總電路設(shè)計(jì)與仿真系統(tǒng)總電路設(shè)計(jì)與仿真3.1 系統(tǒng)總電路模塊圖系統(tǒng)總電路模塊圖clkcrkin1kin2kin3clk1sg6.0btkeyv3.0comb_outputs7.0hang15.0lie3.0block1inst2 圖 3.1.1 總電路模塊圖圖中,clk 接時(shí)鐘脈沖,cr 接復(fù)位端,kin1,kin2,kin3 分別接入鍵盤(pán)

34、行掃描,clk1 接一提供給點(diǎn)陣顯示的時(shí)鐘脈沖。sg60接數(shù)碼管段選信號(hào),bt 為數(shù)碼管位選信號(hào)輸出,keyv3.0為鍵盤(pán)列掃描,comb_outputs7.0控制彩燈的輸出端,hang15.0,lie3.0分別為點(diǎn)陣行列的輸入掃描信號(hào)。3.2 總電路仿真波形總電路仿真波形圖 3.2.1 總電路仿真波形173.3 系統(tǒng)總體電路圖系統(tǒng)總體電路圖圖 3.3.1 系統(tǒng)總體電路圖18第第 4 章章 軟件的調(diào)試與下載軟件的調(diào)試與下載4.1 引腳鎖定引腳鎖定19圖 4.1.1 引腳鎖定列表4.2 引腳鎖定后總電路圖引腳鎖定后總電路圖圖 4.2.1 引腳鎖定后總電路圖204.3 程序下載界面程序下載界面圖 4.3.1 程序下載界面4.4 系統(tǒng)總體仿真結(jié)果系統(tǒng)總體仿真結(jié)果圖 4.4.1 系統(tǒng)總體仿真結(jié)果部分相應(yīng)的實(shí)驗(yàn)顯示效果參見(jiàn)附錄。21第第 5 章章 總結(jié)與體會(huì)總結(jié)與體會(huì)歷時(shí)兩個(gè)星期的 eda 課程設(shè)計(jì)終于落下帷幕,在這兩個(gè)星期中,我們從接到課題,到查找資料,再到自己獨(dú)立編程仿真調(diào)試程序,最后到接硬件調(diào)試,我們的每一步都走出了自己奮斗的精彩。剛開(kāi)始我們組抽到了做鍵盤(pán)掃描程序的課題。本以為這是個(gè)很容易的課題,也很快地查找好

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論