版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
1、多路彩燈控制器的設計通信 班 設計意義 多路彩燈控制器通過對應的開關按鈕,能夠控制多個彩燈的輸出狀態(tài),組合各種變換的燈光閃爍,能夠便捷的得到想要的效果。 設計要求設計要求v設計一個多路彩燈控制器,能循環(huán)變幻花型,可清零,可選擇花型變化節(jié)奏。v彩燈控制器由16路發(fā)光二極管組成,當開關打開時,能夠在6種不同的彩燈花型之間循環(huán)變化。v要求具有復位信號,復位信號有效時,無條件清零,恢復到初始狀態(tài)。v設置節(jié)拍按鈕,按下按鈕,選擇慢節(jié)奏,松開按鈕,選擇快節(jié)奏。設計方案 由系統(tǒng)設計要求可知,整個系統(tǒng)共有3個輸入信號,分別為快慢節(jié)奏控制信號opt、復位清零信號clr、時鐘脈沖信號clk,輸出信號則是16路彩燈
2、的輸出狀態(tài)led【15.0】,系統(tǒng)框圖如圖1.1所示,電路圖如圖1.2所示。 圖1.1 多路彩燈控制器系統(tǒng)框圖 clkclroptclkoutmetronomeinstclkclrled15.0outputinst1VCCclrINPUTVCCoptINPUTVCCclkINPUTled15.0OUTPUT圖1.1 多路彩燈控制器系統(tǒng)框圖多路彩燈控制器由如下兩個主要的電路模塊組成:時序控制電路顯示控制電路時序控制電路時序控制電路 根據(jù)輸入設置得到相應的輸出信號,并將此信號作為顯示控制電路的時鐘根據(jù)輸入設置得到相應的輸出信號,并將此信號作為顯示控制電路的時鐘信號。信號。clk為輸入信號,上升沿有
3、效;為輸入信號,上升沿有效;clr為清零信號,高電平有效;為清零信號,高電平有效;opt為快慢為快慢節(jié)奏控制信號,低電平時節(jié)奏快,高電平時節(jié)奏慢;節(jié)奏控制信號,低電平時節(jié)奏快,高電平時節(jié)奏慢;clkout 為輸出信號,當為輸出信號,當clr有效時,輸出為有效時,輸出為0,否則,否則,clkout的周期將隨的周期將隨opt信號的改變而改變。時序電路信號的改變而改變。時序電路控制框圖如圖控制框圖如圖1.3所示所示 metronomeinstclkclkclrclroptoptclkoutclkout 圖1.2 時序控制電路框圖 顯示控制電路顯示控制電路 根據(jù)輸入時鐘信號的周期,有規(guī)律的輸出預先設定
4、的六種彩燈花型,從而使得多路彩燈控制器顯示控制電路框圖如圖1.3所示,多路彩燈狀態(tài)轉換圖如圖1.4所示。 outputinstclkclkclrclrled15.0led15.0圖1.3 顯示器控制電路框圖圖1.5 多路彩燈狀態(tài)轉換圖頂層模塊時序控制電路程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity metronome is 定義實體名為 metronome port( clk:in std_logic; 時鐘信號 clr:in std_logic; 復位信號 opt:i
5、n std_logic; clkout:out std_logic); end metronome; rchitecture rtl of metronome is 定義結構體 signal clk_tmp:std_logic; signal counter:std_logic_vector(1 DOWNTO 0); begin process(clk,clr,opt) 當敏感信號發(fā)生變化時,啟動進程 begin if clr=1 then 清零 clk_tmp=0; counter=00; elsif clkevent and clk=1 then if opt=0 then 4分頻,快節(jié)奏
6、 if counter=01 then counter=00; clk_tmp=NOT clk_tmp; else 8分頻,慢節(jié)奏 counter=counter+1; end if; else if counter=11 then counter=00; clk_tmp=NOT clk_tmp; else counter=counter+1; end if; end if; end if; end process; clkout=clk_tmp; 輸出分頻后的時鐘信號 end rtl; 時序控制電路仿真波形 從圖中可得,當清零信號為高電平時,輸出為0 在復位信號為低電平時,當快慢節(jié)奏控制信號
7、opt為高電平時,輸出 為慢節(jié)奏;當快慢節(jié)奏控制信號opt為低電平時,輸出為快節(jié)奏。 return顯示控制電路程序l library ieee;use ieee.std_logic_1164.all;entity output is port( clk:in std_logic; clr:in std_logic; led:out std_logic_vector(15 DOWNTO 0);end output;architecture rtl of output istype states is (s0,s1,s2,s3,s4,s5,s6); 定義新的類型signal state:state
8、s;begin process(clk,clr) begin if clr=1 then state=s0; led state=s1; led state=s2; led state=s3; led state=s4; led state=s5; led state=s6; led state=s1; led=0001000100010001; end case; end if; end process; end rtl; return 頂層模塊 library ieee; use ieee.std_logic_1164.all; entity colorled is port( clk:i
9、n std_logic; clr:in std_logic; opt:in std_logic; led:out std_logic_vector(15 DOWNTO 0); end colorled; architecture rtl of colorled is component metronome is port( clk:in std_logic; clr:in std_logic; opt:in std_logic; clkout:out std_logic); end component metronome; component output is port( clk:in st
10、d_logic; clr:in std_logic; led:out std_logic_vector(15 DOWNTO 0); end component output; signal clk_tmp:std_logic; begin U1:metronome PORT MAP(clk,clr,opt,clk_tmp); U2:output PORT MAP(clk_tmp,clr,led); END rtl;個人心得 在這次設計中在我收獲了很多,首先最直接的收獲就是我們鞏固了這門課程所學過的知識把它運用到了實際中,并且學到了很多在書本上沒有的東西。通過查閱相關資料進一步加深了對EDA的了解。最終在不懈的努力和肖冬瑞老師的指導下我圓滿地完成了多路彩燈控制器的設計,實現(xiàn)了設計目的。參考文獻參考文獻 【1 1】陳炳權,EDA技術及實例開發(fā)教程,湘潭,湘潭大學出版社,2
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2024年度三人合伙開展物流倉儲服務合同
- 2024年店鋪分割財產(chǎn)分配協(xié)議
- 2024年廢窯廠坑塘土地租賃協(xié)議
- 2024年度0架AC3A直升機購銷協(xié)議
- 2024年度煤炭買賣合同(長協(xié))
- 2024水電安裝勞務分包合同范本
- 2024年度云計算服務與技術研發(fā)合同
- 2024年度新能源汽車銷售與服務分包合同
- 2024購買車輛合同范本
- 2024年度智能家居解決方案合同
- 2024至2030年中國巖土工程市場深度分析及發(fā)展趨勢研究報告
- 新版高血壓病人的護理培訓課件
- 醫(yī)院等級創(chuàng)建工作匯報
- 2024年江西省公務員錄用考試《行測》題(網(wǎng)友回憶版)(題目及答案解析)
- VDA6.3基礎培訓考核測試卷附答案
- 第01講 正數(shù)和負數(shù)、有理數(shù)-人教版新七年級《數(shù)學》暑假自學提升講義(解析版)
- 信息系統(tǒng)部署與運維-題庫帶答案
- 婚姻心理學解讀包含內容
- DZ/T 0462.3-2023 礦產(chǎn)資源“三率”指標要求 第3部分:鐵、錳、鉻、釩、鈦(正式版)
- 備戰(zhàn)2024年高考英語考試易錯點12 名詞性從句(4大陷阱)(解析版)
- 公務員歷史常識100題及一套完整答案
評論
0/150
提交評論