![VHD語言數(shù)字時鐘實驗設(shè)計_第1頁](http://file3.renrendoc.com/fileroot_temp3/2021-12/30/e83ceba4-d656-4d29-ac9e-7f6bb7c00553/e83ceba4-d656-4d29-ac9e-7f6bb7c005531.gif)
![VHD語言數(shù)字時鐘實驗設(shè)計_第2頁](http://file3.renrendoc.com/fileroot_temp3/2021-12/30/e83ceba4-d656-4d29-ac9e-7f6bb7c00553/e83ceba4-d656-4d29-ac9e-7f6bb7c005532.gif)
![VHD語言數(shù)字時鐘實驗設(shè)計_第3頁](http://file3.renrendoc.com/fileroot_temp3/2021-12/30/e83ceba4-d656-4d29-ac9e-7f6bb7c00553/e83ceba4-d656-4d29-ac9e-7f6bb7c005533.gif)
![VHD語言數(shù)字時鐘實驗設(shè)計_第4頁](http://file3.renrendoc.com/fileroot_temp3/2021-12/30/e83ceba4-d656-4d29-ac9e-7f6bb7c00553/e83ceba4-d656-4d29-ac9e-7f6bb7c005534.gif)
![VHD語言數(shù)字時鐘實驗設(shè)計_第5頁](http://file3.renrendoc.com/fileroot_temp3/2021-12/30/e83ceba4-d656-4d29-ac9e-7f6bb7c00553/e83ceba4-d656-4d29-ac9e-7f6bb7c005535.gif)
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)
文檔簡介
1、河南農(nóng)業(yè)大學(xué)課程設(shè)計報告設(shè)計題目:VHD語言實現(xiàn)數(shù)字鐘實驗報告學(xué)院:理學(xué)院專業(yè):電子信息科學(xué)與技術(shù)學(xué)號:08班級:07級電科(2)班姓名:賈秋峰電子郵件日期:2011年01月成 績:指導(dǎo)教師:河南農(nóng)業(yè)大學(xué)理學(xué)院課 程設(shè)計 任務(wù)書學(xué)生姓名賈秋峰 指導(dǎo)教師賈樹恒林愛英學(xué)生學(xué)號 08 專業(yè)電子信息科學(xué)與技術(shù)題目VHD語言實現(xiàn)數(shù)字鐘實驗報告任務(wù)與要求一、設(shè)計實驗說明:1、數(shù)字時鐘主要由:分頻器、掃描顯示譯碼器、六十進制計數(shù)器(或十進制計數(shù)器與6進制計數(shù)器組成)、六十進制計數(shù)器(或十進制計數(shù)器與6進制計數(shù)器組成)、十二進制計數(shù)器(或二十四進制計數(shù)器)電路組成。在整個時鐘中最關(guān)鍵的是如何獲得一個精確的 1
2、Hz計時脈沖,2、數(shù)字時鐘顯示由時(12或24進制任選)、分(60進制)、秒(60進制)組 成,利用掃描顯示譯碼電路在六個數(shù)碼管顯示。二、數(shù)字時鐘組成及功能:1、分頻率器:用來產(chǎn)生1Hz計時脈沖;2、十二或二十四進制計數(shù)器:對時進行計數(shù)3、六十進制計數(shù)器:對分和秒進行計數(shù);4、六進制計數(shù)器:分別對秒十位和分十位進行計數(shù);5、十進制計數(shù)器:分別對秒個位和分個位進行計數(shù);6、掃描顯示譯碼器:完成對7字段數(shù)碼管顯示的控制;三、系統(tǒng)硬件要求:1、時鐘信號為 5MHz; 2、FPGA芯片型號 EPM7128LC8415、EP1K30TC144-3或EP1K100QC208-3 (根據(jù)實驗箱上 FPGA芯
3、片具體選擇);3、8個7段掃描共 陰級數(shù)碼顯示管;4、按鍵開關(guān)(清零、啟動、保持);四、硬件實現(xiàn)將時序仿真正確的文件下載到實驗箱中的EPM7128LC8415、EP1K30TC144-3或EP1K100QC208-3中,通過合適的管腳分配,將相應(yīng)的管腳連接起來,驗證設(shè)計是否完成設(shè)計要求; 五、要求1、精確顯示時、分、秒。2、數(shù)字時鐘要求:具有對時、分、秒置數(shù)功能(利用秒脈沖置數(shù)或利用撥碼開關(guān)、鍵盤直接置數(shù));3、能夠完成整點報時功能。(選作)開始日期 年 月 日完成日期 年 月 日課程設(shè)計所在單位理學(xué)院電子科學(xué)系VHD 語言實現(xiàn)數(shù)字鐘實驗報告一實驗?zāi)康脑贛AX+plusII軟件平臺上,熟練運用
4、VHDL語言。完成數(shù)字時鐘設(shè)計的軟件編程、編譯、綜合、仿真。使用EDA實驗箱,實現(xiàn)數(shù)字時鐘的硬件功能。了解數(shù)字時鐘的攻擊你工作原理。學(xué)習(xí)掌握數(shù)字時鐘的設(shè)計方法,會設(shè)計比較復(fù)雜的數(shù)值時鐘系統(tǒng)。二設(shè)計要求1、精確顯示時、分、秒;數(shù)字時鐘要求:具有對時、分、秒置數(shù)功能(利用秒脈沖置數(shù)或利用撥碼開關(guān)、鍵盤直接置數(shù)) ;能夠完成整點報時功能。 (選作)2 、數(shù)字時鐘主要由:分頻器、掃描顯示譯碼器、六十進制計數(shù)器(或十進制計數(shù)器與6進制計數(shù)器組成) 、 六十進制計數(shù)器 (或十進制計數(shù)器與6 進制計數(shù)器組成) 、 十二進制計數(shù)器 (或二十四進制計數(shù)器) 電路組成。 在整個時鐘中最關(guān)鍵的是如何獲得一個精確的1
5、Hz計時脈沖。三、系統(tǒng)硬件要求( 1) 、時鐘信號為 5MHz ;(2)、FPGA芯片型號 EPM7128LC8上 15、EP1K30TC144-3 或 EP1K100QC208-3 (根據(jù)實驗箱上FPGA芯片具體選擇);(3)、 8 個 7 段掃描共陰級數(shù)碼顯示管;(4)、按鍵開關(guān)(清零、啟動、保持);四、實驗原理1、本實驗運用VHD語言設(shè)計時鐘計數(shù)器,理解其內(nèi)部原理與模塊設(shè)計數(shù)字時鐘組成及功能:(1)、分頻率器:用來產(chǎn)生1Hz計時脈沖;( 2) 、十二或二十四進制計數(shù)器:對時進行計數(shù)( 3) 、六十進制計數(shù)器:對分和秒進行計數(shù);( 4) 、六進制計數(shù)器:分別對秒十位和分十位進行計數(shù);( 5
6、) 、十進制計數(shù)器:分別對秒個位和分個位進行計數(shù);( 6) 、掃描顯示譯碼器:完成對7 字段數(shù)碼管顯示的控制;實驗原理圖如下 :c選p三?罌2牛一31speaker口叩丁皿. “”2s 一="匕$葩Mi±m. . - - »-»>- J » - »- - J» - - ! - - ! - -J三口口 口 ncdse I _cz: 1 cdcI-cCLKftE3. . 03CLKRESETB E3. , ©1二 MLM3 刈MJL? SEI420rm jl nu H e-sCKH I HR |F 3 . RES
7、ETB E 3 - = QISETHI I MCKH DURr"wc1hour*OUTPUTCKI4OU R。SETHQUR"SETHO URCLK> gRESETSETMINSETHOUR和邛叮-ScT 軸叫L pf 加中葉,廣,T1 - 方旭口丁y2加 TPirrCiA0.。DAI7.4:ioiTTPirf rmBC 3, . 43 RE$ ET袈E 三> ,至單-魴 ! bbii a Ki nan , ! !6=SETMIN;,IrtPUT1"-.ec 1 . . 0圖 9-1 ()2、CPLD設(shè)計過程設(shè)計輸入數(shù)字鐘對4MHz時鐘做4000000
8、分頻產(chǎn)生秒時鐘,以秒時鐘為時基做秒、分、時計數(shù)電路, 將各計數(shù)值經(jīng)7段譯碼在5只LED數(shù)碼管上顯示出來。晶體振蕩器同步 計數(shù)器 模 4000000模12(時)同步計數(shù)器段譯碼器LED數(shù) 碼顯 示程序設(shè)計的頂層圖如下:五、實驗步驟1 .單元電路設(shè)計第一部分?jǐn)?shù)字鐘(1) 4MHz 分頻器 gate4通過編程實現(xiàn),設(shè)晶振產(chǎn)生的信號為4MHz,要求輸出1Hz時鐘信號,則分頻數(shù)為4M,需要22位計數(shù)器。用 VHD語言設(shè)計的文本文件如下:library ieee;use gate4 isport(CLK:in std_logic;sgate:out std_logic;slock:out std_logi
9、c);end gate4;architecture arc_gate4 of gate4 issignal count:std_logic_vector(21 downto 0);signal oc:std_logic;beginprocessbeginwait until clk'event and clk='1'if(count<3999999)thencount<=count+1;oc<='0'elsecount<=(others=>'0');oc<='1'end if;end p
10、rocess;slock<=oc;processbeginwait until clk'event and clk='1'sgate<=oc;end process;end architecture arc_gate4;其仿真波形如下: pkri II MeiiiU-huirkrfig 酬M.卡 WwAe Idrr卓那fr 金股占肝 M2jwm W«tw hap-一卓占髭匕門總4由R以e *.*x stNaneI#"(Lt.Valueil1,1*1 Tin*修知T囪r,$| jg F逢日函三-E1即£DlAlDD33999173
11、?19K39TH99形成符號如下:(2)分秒計時 m60采用VHD語言十進制設(shè)計的文本文件如下: library ieee;use m60 isport(CLK:in std_logic;CR:in std_logic;EN:in std_logic;QL:out std_logic_vector(3 downto 0);QH:out std_logic_vector(3 downto 0); OC:out std_logic);end m60;architecture behav of m60 issignal couL:std_logic_vector(3 downto 0); signa
12、l couH:std_logic_vector(3 downto 0);beginprocess(CLK,CR,EN)beginif CR='0' thencouL<="0000"couH<="0000"elsif clk'event and clk='1' thenif EN='1' thenif (couL=9 and couH=5)then couL<="0000"couH<="0000"elsif couL=9 thencouL
13、<="0000"couH<=couH+1;elsecouL<=couL+1; end if;end if;end if;end process;process(couL,couH)beginif(couL=9 and couH=5)thenOC<='1'elseOC<='0'end if;end process;QL<=couL;QH<=couH;end architecture behav;其仿真波形如下:3 |S BusEh_-CLM| * J TrtH 忖 CEBmgI 匕& IZM。M
14、giruuuviirLrLrwinjwinnrLnjLrLrLnjwmnjwwviJWLjm口.y :止3.,n *(:&kuam以三必二口 h 4 一”y 1&i】形成符號如下:門修日eL<K tLX qLE 3 ??趖-t, + :- CHo- QHE 3 i » 1EM |OC(3)時計時counter12同樣是采用VHD語言二進制設(shè)計的文本文件如下:library ieee;use counter12 isport(CLK :in std_logic;EN :in std_logic;CR :in std_logic;Q :out std_logic_v
15、ector(3 downto 0);OC :out std_logic);end counter12;architecture arc counter12 of counter12 issignal count:std_logic_vector(3 downto 0); beginprocess(CR,CLK,EN)beginif CR='0'thencount<=(others=>'0');elsif clk'event and clk='1'thenif EN='1'and count<11 then
16、count<=count+1;elsif EN='1'and count=11 thencount<="0000"end if;end if;end process;process(count)beginif count=11 thenOC<='1'elseOC<='0'end if;end process;Q<=count;end architecture arc_counter12;其仿真波形如下:K> BP !<«用MT igy-IGKI E 球is,祖IlinHutI
17、bu“ O£*V 0" C4UniW的函而D* M113Tl D|01口5 QijuumnjuuuuuTLnnnnjuuinjunmuuumjmnfjimuuuuumRzkd三口巴心引mxi®®變叵色巫曰付zn®團®亞三®®冉巫®巫囪巫®?lJXnDE®®0E®形成符號如下COUNTER12(4) 7段譯碼器seg_7用VHD語言文本輸入法設(shè)計的7段譯碼器 如下:library ieee;use seg_7 isport(dat:in std_logic_vecto
18、r(3 downto 0);a,b,c,d,e,f,g:out std_logic );end seg_7;architecture arc of seg_7 issignal tmp:std_logic_vector(6 downto 0);beginprocess(dat)begincase dat iswhen "0000"=>tmp<="0000001”;when "0001"=>tmp<="1001111”;when "0010"=>tmp<="0010010
19、”;when "0011"=>tmp<="0000110”;when "0100"=>tmp<="1001100”;when "0101"=>tmp<="0100100”;when "0110"=>tmp<="0100000”;when "0111"=>tmp<="0001111”;when "1000"=>tmp<="0000000”;when
20、 "1001"=>tmp<="0000100”;when "1010"=>tmp<="0001000”;when "1011"=>tmp<="1100000”;when "1100"=>tmp<="0110001”;when "1101"=>tmp<="1000010”;when "1110"=>tmp<="0110000”;when "
21、;1111"=>tmp<="0111000”;when others =>null;end case;end process;a<=tmp(6);b<=tmp(5);c<=tmp(4);d<=tmp(3);e<=tmp(2);f<=tmp;g<=tmp(0);end arc;生成圖形如下:SEG_7al * 11 9 - - a j2 .編譯單元電路單個編譯,對應(yīng)的文件為MAX+plus中的4M分頻分秒計時時計時7段譯碼器編譯通過。3 .仿真形成符號都通過編譯的單元電路,仿真生成對應(yīng)的仿真文件為:4M分頻分秒計時時計時7段譯碼器并且建立符號,符號名稱即為相應(yīng)的文件名稱建立核心模塊:-uao日llLHO 1 EJ ti .LTn:X7I三mi-:仿真如下:u g二二1M 0 劃a n ® 事 MJ 1 F樂, FJW' jlH e.I13E3 QTXUrf3 QHC) M 疆-W Ql,i|). A Qf Quip t5 .選好器件,鎖定引腳新建gdf格式文件,選定之前弄好的器件,搭接好電路圖為:根據(jù)下列表格鎖定管腳,如上圖的紅色字體表示已鎖定管腳。表1LEDXabcdefg秒個位60616364656768秒十位69707374757677分個位7980814568分十位9101
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 影視行業(yè)制作管理與后期剪輯方案
- Unit8 lesson 6教學(xué)設(shè)計 - 2024-2025學(xué)年冀教版英語七年級上冊
- 川教版三上信息技術(shù)3.2 添加角色 教學(xué)設(shè)計
- 全國冀教版信息技術(shù)四年級上冊新授課 第7課 Internet Explorer下載 教學(xué)設(shè)計
- 2025年簡易網(wǎng)站服務(wù)合同5篇
- 19 食物與營養(yǎng) 教學(xué)設(shè)計-2024-2025學(xué)年科學(xué)三年級上冊蘇教版
- 電子支付商戶合作協(xié)議8篇
- 標(biāo)準(zhǔn)駕校培訓(xùn)合同范本8篇
- 河南砂漿環(huán)氧地坪施工方案
- 小學(xué)二年級數(shù)學(xué)100以內(nèi)加減法豎式計算競賽考核口算題帶答案
- 畢業(yè)論文論財務(wù)管理是企業(yè)管理的核心
- (改)提高地下室側(cè)墻剛性防水施工合格率_圖文
- 清潔化施工無土化安裝施工方案
- 電芯品質(zhì)協(xié)議版本(標(biāo)準(zhǔn)版)
- 物業(yè)小區(qū)常規(guī)保潔工作程序
- 食管癌化療臨床路徑(最全版)
- 失業(yè)保險知識PPT課件
- 急危重癥患者優(yōu)先處置制度
- 教學(xué)設(shè)計——思維可視化在英語閱讀教學(xué)中的探究
- 北京市工業(yè)污染行業(yè)、生產(chǎn)工藝調(diào)整退出及設(shè)備淘汰目錄
- 室外工程倒排工期計劃表2013.07.11
評論
0/150
提交評論