最佳接收機的仿真和實現(xiàn)剖析_第1頁
最佳接收機的仿真和實現(xiàn)剖析_第2頁
最佳接收機的仿真和實現(xiàn)剖析_第3頁
最佳接收機的仿真和實現(xiàn)剖析_第4頁
最佳接收機的仿真和實現(xiàn)剖析_第5頁
已閱讀5頁,還剩10頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、最佳接收機的仿真和實現(xiàn) 姓名:熱合曼吾拉音 學(xué)號:107551400888 目錄 最佳接收機的仿真和實現(xiàn) 1 目錄2 第2章基于匹配濾波器的最佳接受原理 3 2.1匹配濾波器的概述 3 2.2匹配濾波器接收機原理 3 2.2.1. 一般情況下的匹配濾波器 4 222.白噪聲條件下的結(jié)果 4 2.2.3匹配濾波的最佳接收機 6 第4章VHDL 語言實現(xiàn)最佳接收機 8 4.1 VHDL 簡介8 4.2 QPSK匹配濾波器的 VHDL設(shè)計8 4.2.1正交相移鍵控(QPSK) 8 4.2.3 QPSK匹配濾波器的仿真 8 附錄2 vhdl程序11 第2章 基于匹配濾波器的最佳接受原理 2.1匹配濾波

2、器的概述 在白噪聲干擾下,如果線性濾波器的輸出端在某一時刻上使信號的瞬時功率與白噪聲平均功率 之比達(dá)到最大,就可以使判決電路錯誤判決的概率最小。這樣的線性濾波器稱為疲憊濾波器。所以, 匹配濾波器是最大輸出信噪比意義下的最佳線性濾波器。用匹配濾波器構(gòu)成的接收機是滿足最大輸 出信噪比準(zhǔn)則的最佳接收機,也稱為匹配濾波器接收機。 2.2匹配濾波器接收機原理 對于二進(jìn)制數(shù)字信號,根據(jù)它們的時域表達(dá)式及波形可以直接得到相應(yīng)的解調(diào)方法。在加性白 高斯噪聲的干擾下,這些解調(diào)方法是否是最佳的,這是我們要討論的問題。數(shù)字傳輸系統(tǒng)的傳輸對 象是二進(jìn)制信息。分析數(shù)字信號的接收過程可知,在接收端對波形的檢測并不重要,重

3、要的是在背 景噪聲下正確的判斷所攜帶的信息是哪一種。因此,最有利于作出正確判斷的接收一定是最佳接收。 從最佳接收的意義上來說,一個數(shù)字通信系統(tǒng)的接收設(shè)備可以看作一個判決裝置,該裝置由一 個線性濾波器和一個判決電路構(gòu)成,如圖1所示。線性濾波器對接收信號進(jìn)行相應(yīng)的處理,輸出某 個物理量提供給判決電路,以便判決電路對接收信號中所包含的發(fā)送信息作出盡可能正確的判決, 或者說作出錯誤盡可能小的判決。 圖2.2-1簡化的接收設(shè)備 假設(shè)有這樣一種濾波器,當(dāng)不為零的信號通過它時,濾波器的輸出能在某瞬間形成信號的峰值, 而同時噪聲受到抑制,也就是能在某瞬間得到最大的峰值信號功率與平均噪聲功率之比。在相應(yīng)的 時刻

4、去判決這種濾波器的輸出,一定能得到最小的差錯率。 匹配濾波器是一種在最大化信號的同時使噪聲的影響最小的線性濾波器設(shè)計技術(shù)。注意:該濾 波器并不保持輸入信號波形,其目的在于使輸入信號波形失真并濾除噪聲,使得在采樣時刻t0輸出 信號值相對于均方根(輸出)噪聲值達(dá)到最大。 2.2.1. 一般情況下的匹配濾波器 r(t) =s(t) + n(t)亠 匹配濾波器 r(t) = s (t) + h(t)或 H ( f) n(t) 圖2.2-2匹配濾器 s(t):匹配濾波器輸入信號; n(t):匹配濾波器輸入噪聲; So(t):匹配濾波器輸出信號; no(t):匹配濾波器輸出噪聲; h(t)或H(f):匹配

5、濾波器。 匹配濾波器的目的就是使下式取最大值: )out s;(t) n0 (t) (2-1) 使上式取最大值的轉(zhuǎn)移函數(shù)為: S (f ) j t :n(f) (2-2) n(f )是輸入噪聲的 式中S( f)二F S(t) 1是已知的時寬為T秒的輸入信號s(t)的傅立葉變換, 功率譜密度 PSD K是一個任意非 0實常數(shù)。to是計算(亓)out時的采樣時間。詳細(xì)推導(dǎo)公式見參考 教材。 2.2.2.白噪聲條件下的結(jié)果 在白噪聲條件下,匹配濾波器可簡化描述如下:對白噪聲,n(f No/2,上式變?yōu)? 2K S ( f )e* (2-3) 2K h(t-s(tt)(2-4 ) No 上式表明匹配濾

6、波器(白噪聲情況下)的沖激響應(yīng)就是已知輸入信號波形反轉(zhuǎn)并平移了To,見 圖3,因此稱濾波器與信號“匹配”。圖3所示的匹配濾波器波形,也稱積分一清除(匹配)濾波 器。 假定輸入信號為矩形脈沖,如圖2.2-3(a)所示。 陽) 7“反轉(zhuǎn)”倍號 where f尸f? G廷配械波器沖徼響應(yīng) Sf)(t) 055 r G.571 ojsr 圖2.2-3與匹配濾波 器有關(guān)的波形 Ift to+7 1 ,t1 t21 s(t) 0,t為其他值 7.T (d)返配濾滾畚輸出信號 圖2.2-3匹配濾波器波形 信號時寬為T =t2 -ti。對白噪聲情況,匹配濾波器的沖激響應(yīng)為: (2-5 ) h(t) = S(t

7、o -1) = S(-(t - to) 為方便起見,令 C為1 , S(_t),如圖2.2-3( b)所示。由該圖可見,要使沖激響應(yīng)可實現(xiàn),要求: to - t2 這里采用t0二t2,是因為這是滿足可實現(xiàn)條件的最小值。要使濾波器輸出最大信號值前的等待時 間(即t =to )最小化。圖2.2-3 ( c)示出了 t =t2時的h(t),如圖2.2-3 ( d)示出了輸出信號, 注意輸出信號的峰值出現(xiàn)在t二鮎。為了使峰值出現(xiàn)在t二切,輸入信號經(jīng)濾波后將會有失真。在比 特波形為矩形的數(shù)字信號傳輸技術(shù)中,該匹配濾波器相當(dāng)于積分-清除濾波器。假設(shè)輸入信號為矩 形波形,在輸出信號值最大時對其進(jìn)行抽樣。則t

8、 =t0處的濾波器的輸出為: r(t。)= r(t) h(t) =r( )h(to- Jd(2-6) 一 JO 將圖3 ( c)所示匹配濾波器沖激響應(yīng)帶入上式,等式變?yōu)椋?10 r(t) = T( )d,(2-7) 因此,需要將輸入信號加噪聲在一個符號區(qū)間T (對二進(jìn)制信號傳輸是比特區(qū)間)上積分,然 后在符號區(qū)間末將積分輸出“清空”。這種方法示于圖 4 (二進(jìn)制信號)。注意,為了使最優(yōu)濾波器 工作正常,需要一個外部時鐘信號,稱為比特同步。而且,由于輸出采樣值仍被噪聲干擾(盡管匹 配濾波器已使噪聲達(dá)到最小),輸出信號不是二進(jìn)制的。將輸出送入比較器,可將其轉(zhuǎn)換為二進(jìn)制信 號。 2.2.3 匹配濾波

9、的最佳接收機 根據(jù)匹配濾波器原理可做出匹配濾波器的最佳接收機。 最佳接收機框圖如下: x(t) 其中判決公式如下 抽樣判決 相乘 積分 So(t)t=T 圖2.2-4最佳接收機框圖 訃?yán)浠?J:x(u)s0(u)du,則判為收到s1 (xggdu 訃層問,則判為收到So 第4章VHDL語言實現(xiàn)最佳接收機 4.1 VHDL 簡介 VHDL 的英文全名是 Very-High-Speed Integrated Circuit Hardware Description Language, 誕生于1982年。1987年底,VHDL被IEEE和美國國防部確認(rèn)為標(biāo)準(zhǔn)硬件描述語言。 VHDL主要用于描述數(shù)字系

10、統(tǒng)的結(jié)構(gòu),行為,功能和接口。除了含有許多具有硬件特征的語句外,VHDL 的語言形式和描述風(fēng)格與句法是十分類似于一般的計算機高級語言。VHDL的程序結(jié)構(gòu)特點是將一項 工程設(shè)計,或稱設(shè)計實體(可以是一個元件,一個電路模塊或一個系統(tǒng))分成外部(或稱可視部分, 及端口)和內(nèi)部(或稱不可視部分),既涉及實體的內(nèi)部功能和算法完成部分。在對一個設(shè)計實體定 義了外部界面后,一旦其內(nèi)部開發(fā)完成后,其他的設(shè)計就可以直接調(diào)用這個實體。這種將設(shè)計實體 分成內(nèi)外部分的概念是 VHDL系統(tǒng)設(shè)計的基本點。 4.2 QPSK匹配濾波器的VHDI設(shè)計 4.2.1 正交相移鍵控(QPSK 四相相移鍵控(QPSK是一種性能優(yōu)良,應(yīng)

11、用十分廣泛的數(shù)字調(diào)制方式,它的頻帶利用率高, 是二相相移鍵控(BPSK的2倍。且QPSK調(diào)制技術(shù)抗干擾能力強,采用相干檢測時其誤碼率性能與 BPSK相同。本文用 VHDL軟件對QPSK通信系統(tǒng)的發(fā)射和接收過程的具體實現(xiàn)進(jìn)行模擬仿真,并對各 個模塊進(jìn)行頻譜分析。 四相相移鍵控(QPSK是利用載波的四種不同相位差來表征輸入的數(shù)字信息,是4進(jìn)制相移鍵 控。QPSK是 M=4的調(diào)相技術(shù),它規(guī)定了四種載波相位,分別是45,1350,225,275,調(diào)制器輸入 的序列是二進(jìn)制數(shù)字序列,為了能和四進(jìn)制的相位配合起來,則需要把二進(jìn)制數(shù)據(jù)變換成四進(jìn)制數(shù) 據(jù),就是說需要把二進(jìn)制數(shù)字序列中的每兩個比特分成一組,共有

12、四種組合,即00,01,10,11, 其中每一組成為雙比特碼元。每一個雙比特碼元是由兩位二進(jìn)制信息比特組成,它們分別代表四進(jìn) 制四個符號中的一個符號。QPSK中每次調(diào)制可傳輸兩個信息比特,這些信息比特是通過載波的四種 相位傳遞的。解調(diào)器根據(jù)星座圖及接收到的載波信號的相位來判斷發(fā)送端發(fā)送的信息比特。 4.2.3 QPSK匹配濾波器的仿真 QPSK匹配濾波器 VHDL設(shè)計能比較直接地闡釋匹配濾波器的功能,在整個過程中,基于所學(xué)的 VHDL程序語言的特點,憑此得以完成 EDA程序編程知識與通信匹配濾波器的理論知識,充分利用了 設(shè)計,最后得到程序流程圖如圖4.2-1所示。 圖4.2-1 VHDL程序設(shè)

13、計基本流程 對QPSK匹配濾波進(jìn)行仿真時,QPSK信號的波形主要有四種,而匹配濾波器儲存的是其對稱移 位的信號。下表為 QPSK匹配濾波器程序仿真涉及的輸入信號、載波編碼及輸出的匹配波形。 表4-1 QPSK信號與匹配波形 輸入信號(編號) 載波波形(編碼) 匹配波形 00( 0) -1_1( 1100) n 01( 1) ( 1001) _TL 10( 2) _rn( 0011) -L_ 11( 3) _nj( 0110) T_n 波形分析: 在Max+plus2軟件上運行程序得到如圖4.2-2所示的波形。 fiet 伽 他 lint:M 魚臉 r ffl腑 Mi300:rs 4B.:r-:

14、 mm to 1 朮b L TT 幽 勸Jlllt 0 1 2 i 0A 少加 0 r p i 0 .( 1 l 2 Err * cnt133 0 r h L i J 11 i i i 2 1 1 1 2 ;小汀 0 1 # j_CUt_KWl 0 13 ii 1 o r. 圖4.2-2仿真波形圖 從上圖可以看出,當(dāng)接收到“ 1100”的波形時,接收機輸出了對應(yīng)的波形編號0;當(dāng)接收到“1001 ”、 “0011”、“0110”的波形時,接收機輸出了相應(yīng)的編號1、2、3,只是仿真時間有一定延遲??梢?, 匹配濾波器根據(jù)載波編碼的不同進(jìn)行了不同的波形匹配,并在輸出端輸出了對應(yīng)的輸入波形編碼。 這種現(xiàn)

15、象從另一個角度上說明了匹配濾波器的功能。 附錄2 vhdl程序 cntl = 000; QPSK匹配濾波器VHDL程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_ un sig ned.all; en tity match_rec is port(clk:in std_logic; reset: in std_logic; x_in:in std_logic; y_out:out std_logic_vector(1 dow nto 0); end match_rec; architecture tran sl

16、ated of match_rec is sig nal cn t:std_logic_vector(1 dow nto 0); sig nalcn t1:std_logic_vector(2dow nto0); sig nalcn t2:std_logic_vector(2dow nto0); sig nalcn t3:std_logic_vector(2dow nto0); sig nalcn t4:std_logic_vector(2dow nto0); sig nal y_out_xhdl1: std_logic_vector(1 dow nto 0); begin y_out = y

17、_out_xhdl1; process begin wait un til(clkeve nt and clk=1); if(not reset=1)the n cnt2 = 000; cnt3 = 000; cnt4 = 000; y_out_xhdl1 if(x_i n=1)the n cntl = 001; cnt2 = 001; cnt3 = 000; cnt4 = 000; else cnt1 = 000; cnt2 = 000; cnt3 = 001; cnt4 010)the n y_out_xhdl1 010)the n y_out_xhdl1 010)the n y_out_xhdl1 = 10; else cnt = 00; y_out_xhdl1 = 11; cnt2 = cn t2+001; cnt3 = cn t3; cnt4 if(x_i n=1)the n cntl = cn t1; cnt2 = cn t2+001; cnt3 = cn t3+001; cnt4 = cn t4; else cntl = cn t1+001; cnt2 = cn t2; cnt3 = cn t3; cnt4 n ull end case; ent if(x_i n=1)the n cntl =

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論