基于EDA技術(shù)的FSK調(diào)制與解調(diào)_第1頁
基于EDA技術(shù)的FSK調(diào)制與解調(diào)_第2頁
基于EDA技術(shù)的FSK調(diào)制與解調(diào)_第3頁
基于EDA技術(shù)的FSK調(diào)制與解調(diào)_第4頁
基于EDA技術(shù)的FSK調(diào)制與解調(diào)_第5頁
已閱讀5頁,還剩10頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、編號:南陽師范學(xué)院2007屆畢業(yè)生畢業(yè)論文(設(shè)計)題 目: 基于EDA技術(shù)的2FSK調(diào)制與解調(diào) 完 成 人: 班 級: 2003-03 學(xué) 制: 4 年 專 業(yè): 電子信息與科學(xué)技術(shù) 指導(dǎo)教師: 完成日期: 2007-03-31 目 錄摘要 1 引言(1)2 概述(2)2.1 數(shù)字調(diào)制技術(shù)概況(2)2.2 VHDL語言特點和基本結(jié)構(gòu)(2)2.3 設(shè)計思路(3)3 總體設(shè)計思路(4)4 二進(jìn)制頻移鍵控信號的調(diào)制與解調(diào)基本原理(4)4.1 二進(jìn)制頻移鍵控信號的調(diào)制的基本原理(4)4.2 二進(jìn)制頻移鍵控信號的解調(diào)的基本原理(5)5 二進(jìn)制頻移鍵控信號的調(diào)制與解調(diào)的VHDL程序?qū)崿F(xiàn)(8)5.1 二進(jìn)制

2、移頻鍵控信號調(diào)制的VHDL程序?qū)崿F(xiàn)(8)5.2 二進(jìn)制移頻鍵控信號解調(diào)的VHDL程序?qū)崿F(xiàn)(9)6 結(jié)論(10)Abstract(11)附錄(11)基于EDA技術(shù)的2FSK的調(diào)制與解調(diào)作 者:溫秋艷 指導(dǎo)老師:張 帥摘要:基于EDA的種種優(yōu)勢,本文在闡述數(shù)字通信中二進(jìn)制頻移鍵控信號的調(diào)制與解調(diào)的基本原理和EDA技術(shù)及其系統(tǒng)描述設(shè)計語言VHDL語言的基本特點和語法結(jié)構(gòu)的基礎(chǔ)上,給出了在MAX+PLUS開發(fā)軟件環(huán)境下,利用VHDL系統(tǒng)硬件描述語言設(shè)計二進(jìn)制頻移鍵控信號的調(diào)制與解調(diào)的具體方法及仿真分析結(jié)果。關(guān)鍵詞:2FSK調(diào)制與解調(diào);EDA技術(shù);VHDL1 引言隨著電子技術(shù)的發(fā)展,數(shù)字系統(tǒng)的設(shè)計正朝高

3、速度、大容量、小體積的方向發(fā)展,傳統(tǒng)的自底而上的設(shè)計方法已難以適應(yīng)形勢。EDA(Electronic Design Automation)技術(shù)應(yīng)運而生,使傳統(tǒng)的電子系統(tǒng)設(shè)計發(fā)生了根本的變革。EDA技術(shù)就是依賴功能強(qiáng)大的計算機(jī),在EDA工具軟件平臺上,對以硬件描述語言VHDL為系統(tǒng)邏輯描述手段完成的設(shè)計文件,自動地完成邏輯編譯、邏輯化簡、邏輯分割、邏輯綜合、結(jié)構(gòu)綜合(布局布線),以及邏輯優(yōu)化和仿真測試,直至實現(xiàn)既定的電子線路系統(tǒng)功能。EDA技術(shù)使得設(shè)計者的工作僅限于利用軟件的方式,即利用硬件描述語言和EDA軟件來完成對系統(tǒng)硬件功能的實現(xiàn)。系統(tǒng)可現(xiàn)場編程,在線升級;整個系統(tǒng)低功耗,多功能等特點,成

4、為現(xiàn)代電子設(shè)計發(fā)展的趨勢。EDA技術(shù)徹底改變了數(shù)字系統(tǒng)的設(shè)計方法和實現(xiàn)手段,借助于硬件描述語言的國際標(biāo)準(zhǔn)VHDL和強(qiáng)大的EDA工具,可減少設(shè)計風(fēng)險并縮短周期,隨著VHDL語言使用范圍的日益擴(kuò)大,必將給硬件設(shè)計領(lǐng)域帶來巨大的改革。VHDL語言是目前應(yīng)用于數(shù)字系統(tǒng)仿真最為實用的語言之一。2 概述2.1 數(shù)字調(diào)制技術(shù)概況數(shù)字調(diào)制技術(shù)是現(xiàn)代通信的一個重要內(nèi)容,在數(shù)字通信系統(tǒng)中,由于數(shù)字信號具有豐富的低頻成分,不宜進(jìn)行無線傳輸或長距離電纜傳輸,因而需要將基帶信號進(jìn)行數(shù)字調(diào)制(Digital Modulation)。數(shù)字調(diào)制同時也是數(shù)字信號頻分復(fù)用的基本技術(shù)。數(shù)字調(diào)制與模擬調(diào)制具有許多類似的特點,一般都是

5、利用調(diào)制信號(模擬基帶信號或數(shù)字基帶信號)對正弦型載波進(jìn)行調(diào)制,使載波的幅度/頻率或相位發(fā)生變化以攜帶調(diào)制信號的信息;兩者的主要區(qū)別表現(xiàn)在模擬調(diào)制是用模擬調(diào)制信號去調(diào)制載波,而數(shù)字調(diào)制是用數(shù)字信號去調(diào)制載波。但是,與模擬調(diào)制系統(tǒng)對比,數(shù)字調(diào)制的突出優(yōu)先之一,是抗干擾(或噪聲)能力強(qiáng)。在采用模擬調(diào)制的傳輸系統(tǒng)中,一旦產(chǎn)生失真或引入干擾,且這些干擾的頻率又與信號頻譜重疊,則它們對解調(diào)信號的影響是難以消除的。而在采用數(shù)字調(diào)制的傳輸系統(tǒng)中,盡管解調(diào)信號存在失真或干擾,但只要取樣判決電路能正確判定每個碼元所代表的是1還是0,就可不失真地重現(xiàn)原信號。頻移鍵控(FSK)是數(shù)字通信中經(jīng)常使用的一種調(diào)制方法。F

6、SK方法簡單,易于實現(xiàn),也可以異步傳輸,抗噪聲和抗衰性能也較強(qiáng)。缺點是占用頻帶較寬,頻帶利用不夠經(jīng)濟(jì)。因此,F(xiàn)SK主要應(yīng)用于低、中速數(shù)據(jù)傳輸,以及衰落信道和頻帶較寬的通信中。以往的頻移鍵控調(diào)制與解調(diào)采用“固定功能集成電路連線”方式設(shè)計,集成塊多,連線復(fù)雜,容易出錯,且體積較大,本設(shè)計采用VHDL語言進(jìn)行設(shè)計,具有良好的可移植性和產(chǎn)品升級的系統(tǒng)性同時采用了Altera公司的FPGA芯片,有效地縮小了系統(tǒng)的體積。2.2 VHDL語言特點和基本結(jié)構(gòu)VHDL語言即超高速集成電路硬件描述語言。它是一種用形式化方法來描述數(shù)字電路和設(shè)計數(shù)字邏輯系統(tǒng)的語言。特別適合描述復(fù)雜的組合邏輯、組運算、狀態(tài)機(jī)和真值表,

7、是1980年美國國防部實施超高速集成電路VHSIC項目中開發(fā)形成的描述集成電路結(jié)構(gòu)和功能的標(biāo)準(zhǔn)語言,并在1987年成了IEEE的標(biāo)準(zhǔn)。和傳統(tǒng)門級設(shè)計方法相比有以下幾個特點: 設(shè)計層次高,用于在較復(fù)雜的運算時能盡快的發(fā)現(xiàn)問題,縮短設(shè)計周期,產(chǎn)品上市快,從而降低成本。 與工藝無關(guān)獨立實現(xiàn),修改方便,系統(tǒng)描述能力強(qiáng)。 可讀性好,有利于交流,適合文檔保存。 VHDL標(biāo)準(zhǔn)、規(guī)范并且可移植性強(qiáng)。 VHDL類型多,并且支持用戶自定義類型、支持自上而下的設(shè)計方法和多種電路設(shè)計。VHDL語言程序設(shè)計的基本語法結(jié)構(gòu)如下: Library;-指定庫名 Use .all-指定程序包 Entity 實體名 is gen

8、eric(類屬聲名);-實體聲明 port(端口聲明); end實體名稱; architecture結(jié)構(gòu)名稱of 實體名稱is -結(jié)構(gòu)體聲明 聲明語句 begin 并行處理語句; end 結(jié)構(gòu)體名稱;2.3 設(shè)計思路2FSK信號是在通信技術(shù)領(lǐng)域中經(jīng)常要使用到的一種調(diào)制技術(shù),在傳統(tǒng)設(shè)計中是通過設(shè)計專用電路來實現(xiàn)的。由于PLD器件具有可重構(gòu)性的特點,并且現(xiàn)在大多數(shù)PLD器件速度快、存儲容量大,因此完全可以使用EDA技術(shù)在PLD器件上建立2FSK模型。由于本文設(shè)計的是一種通信調(diào)制技術(shù),只需編寫出相應(yīng)的調(diào)制與解調(diào)程序,下載到PLD器件中即可,因此本文沒有硬件電路設(shè)計,完全是軟件設(shè)計。主要設(shè)計思路是根據(jù)

9、2FSK調(diào)制與解調(diào),使用VHDL語言編寫相應(yīng)的程序,編寫完成后使用EDA軟件進(jìn)行編譯、仿真,完全正確后下載到PLD器件中。如果以后需要進(jìn)行修改,只需將修改過的程序再次下載到PLD器件中即可。3 總體設(shè)計思路 由于是對2FSK調(diào)制與解調(diào)技術(shù)進(jìn)行通信建模,因此首先需要對2FSK調(diào)制與解調(diào)的基本原理有一定的了解。由于2FSK信號產(chǎn)生的方法及FSK解調(diào)方法有多種,那么就需要進(jìn)行比較,找出一種適合的方法,然后針對該方法進(jìn)行程序設(shè)計。在設(shè)計時首先勾畫出2FSK調(diào)制與解調(diào)的模型框圖,然后根據(jù)框圖對相應(yīng)的模塊進(jìn)行程序設(shè)計。程序編寫完成后進(jìn)行編譯,無誤后可產(chǎn)生其對應(yīng)的VHDL建模符號,進(jìn)行仿真,觀察是否與2FS

10、K實際調(diào)制與解調(diào)情況一致,如果不一致,則對程序進(jìn)行修改。4 二進(jìn)制頻移鍵控信號的調(diào)制與解調(diào)基本原理4.1 二進(jìn)制頻移鍵控信號的調(diào)制的基本原理2FSK信號是用載波的頻率來傳送數(shù)字消息,即用所傳送的數(shù)字消息控制載波的頻率。2FSK信號便是符號“1”對應(yīng)于載頻f1,而符號“0”對應(yīng)于載頻f2(與f1不同的另一載頻)的已調(diào)波形,而且f1與f2之間的改變是瞬間完成的。移頻鍵控信號產(chǎn)生的方法通常有兩種:直接調(diào)頻法和頻率鍵控法。(1)直接調(diào)頻法直接調(diào)頻法是用數(shù)字基帶信號直接控制載頻振蕩器的振蕩頻率。其實現(xiàn)電路有多種,一般采用的方法是:當(dāng)基帶信號為正時(相當(dāng)于“1”碼),改變振蕩器諧振回路參數(shù),使振蕩器的振蕩

11、頻率提高(設(shè)為f1);當(dāng)基帶信號為負(fù)時(相當(dāng)于“0”碼),改變振蕩器諧振回路的參數(shù),使振蕩器的振蕩頻率降低(設(shè)為f2),從而實現(xiàn)調(diào)頻。這種方法產(chǎn)生的調(diào)頻信號是相位連續(xù)的,雖然實現(xiàn)方法簡單,但是頻率穩(wěn)定度不高,頻率轉(zhuǎn)換速度不能太快。(2)頻率鍵控法該方法也稱頻率選擇法,圖1是其實現(xiàn)的原理框圖。圖1 頻率鍵控法原理圖頻率鍵控法產(chǎn)生的FSK信號頻率穩(wěn)定度可以做的很高,并且沒有過渡頻率,轉(zhuǎn)換速度快,波形好。圖2是利用兩個獨立分頻器,以頻率鍵控法實現(xiàn)fsk調(diào)制的原理電路圖:圖2 利用獨立分頻器的鍵控法實現(xiàn)FSK調(diào)制4.2 二進(jìn)制頻移鍵控信號的解調(diào)的基本原理2FSK解調(diào)就是從FSK載波信號中恢復(fù)調(diào)制碼元,

12、其方法有很多,如相干檢測法、包絡(luò)檢波法、鑒頻器法、過零檢測法、差分檢測法等。(1)相干檢測法 相干檢測的具體解調(diào)電路是同步檢波器,原理方框圖如圖3.3所示。圖中兩個帶通濾波器的作用同于包絡(luò)檢波法,起分路作用。它們的輸出分別與相應(yīng)的同步相干載波相乘,再分別經(jīng)低通濾波器濾掉二倍頻信號,取出含基帶數(shù)字信息的低頻信號,抽樣判決器在抽樣脈沖到來時對兩個低頻信號的抽樣值進(jìn)行比較判決(判決規(guī)則同于包絡(luò)檢波法),即可還原出基帶數(shù)字信號。圖3 2FSK同步檢測方框圖(2)包絡(luò)檢波法包絡(luò)檢波法可視為由兩路2ASK解調(diào)電路組成,如圖3.4。這里,兩個帶通濾波器(帶寬相同,皆為相應(yīng)的2ASK信號帶寬;中心頻率不同,分

13、別為起分路作用,用以分開兩路2ASK信號,上支路對應(yīng),下支路對應(yīng),經(jīng)包絡(luò)檢測后分別取出它們的包絡(luò)s(t)及;抽樣判決器起比較器作用,把兩路包絡(luò)信號同時送到抽樣判決器進(jìn)行比較,從而判決輸出基帶數(shù)字信號。若上、下支路s(t)及的抽樣值分別用表示,則抽樣判決器的判決準(zhǔn)則為 圖4 2FSK信號包絡(luò)檢波方框圖(3)鑒頻法用普通鑒頻法進(jìn)行解調(diào),將恢復(fù)成碼元1,把S2(t)恢復(fù)成碼元0,圖5為普通鑒頻法原理框圖。圖5 鑒頻器法實現(xiàn)方框圖在接收端FSK信號進(jìn)入帶通濾波器抑制掉干擾,經(jīng)限幅器消除接收的信號在幅度上的畸變,解調(diào)器的關(guān)鍵部位是鑒頻器他把兩種不同頻率的FSK信號變成兩種不同的電壓信號,然后送低通濾波器

14、濾除高頻分量,從而得到基波的包絡(luò)線,最后經(jīng)判決器恢復(fù)出其基帶動數(shù)字信號。如果傳輸?shù)男盘枎捄苷?,是窄帶?shù)字通信,并且傳輸信號的信道噪聲、干擾很小,信噪比較大,也即數(shù)字通信系統(tǒng)的工作環(huán)境比較干凈,則可以考慮只使用一路鑒頻解調(diào)電路來解調(diào)2FSK信號。由于2FSK信號只有兩種頻率成份,解調(diào)出信號,信號也隨之被解調(diào)出來。也就是說,利用一路頻率信號(如f1)還原成數(shù)字信息“0”,接收端由比較器在“0”碼元之間自動補(bǔ)入“1”,這樣即還原出了二進(jìn)制基帶數(shù)字信息,完成了2FSK信號的解調(diào),這種解調(diào)方法相應(yīng)于其他解調(diào)方法較為簡單,同時減少了設(shè)備,為系統(tǒng)的集成提供了便利,但這種解調(diào)方法要求較高,它需要所應(yīng)用的信道

15、十分干凈,鑒頻電路的輸入信號要有很窄的帶寬且鑒頻曲線非常陡峭,2FSK信號的鑒頻解調(diào)原理方框圖如圖所示:圖6 2FSK信號鑒頻解調(diào)原理框圖(4)過零檢測法過零檢測法單位時間內(nèi)信號經(jīng)過零點的次數(shù)多少,可以用來衡量頻率的高低。數(shù)字調(diào)頻波的過零點數(shù)隨不同載頻而異,故檢出過零點數(shù)可以得到關(guān)于頻率的差異,這就是過零檢測法的基本思想。過零檢測法方框圖及各點波形如圖3.7。2FSK輸入信號經(jīng)放大限幅后產(chǎn)生矩形脈沖序列,經(jīng)微分及全波整流形成與頻率變化相應(yīng)的尖脈沖序列,這個序列就代表著調(diào)頻波的過零點。尖脈沖觸發(fā)一寬脈沖發(fā)生器,變換成具有一定寬度的矩形波,該矩形波的直流分量便代表著信號的頻率,脈沖越密,直流分量越

16、大,反映著輸入信號的頻率越高。經(jīng)低通濾波器就可得到脈沖波的直流分量。這樣就完成了頻率幅度變換,從而再根據(jù)直流分量幅度上的區(qū)別還原出數(shù)字信號“1”和“0”。圖7 過零檢測法方框圖及各點波形圖(5)差分檢測法差分檢波法基于輸入信號與其延遲的信號相比較,信道上的失真將同時影響相鄰信號,故不影響最終鑒頻結(jié)果。實踐表明,當(dāng)延遲失真為0時,這種方法的檢測性能不如普通鑒頻法,但當(dāng)信道有較嚴(yán)重延遲失真時,其檢測性能優(yōu)于鑒頻法。5 二進(jìn)制頻移鍵控信號的調(diào)制與解調(diào)的VHDL程序?qū)崿F(xiàn)5.1 二進(jìn)制移頻鍵控信號調(diào)制的VHDL程序?qū)崿F(xiàn)FSK的調(diào)制方法如圖8所示:圖8 2FSK信號調(diào)制的VHDL建模方框圖在圖中fsk調(diào)制

17、的核心部分是分頻器、二選一選通開關(guān)等。上圖中的兩個分頻器分別產(chǎn)生兩路數(shù)字載波信號;二選一選通開關(guān)的作用是:以基帶信號作為控制信號,當(dāng)基帶信號為“0”,選通載波;當(dāng)基帶信號為“1”時,選通載波。從選通開關(guān)輸出的信號即為數(shù)字fsk信號。圖9 FSK調(diào)制電路的VHDL建模符號圖10 FSK調(diào)制的VHDL程序仿真圖5.2 二進(jìn)制移頻鍵控信號解調(diào)的VHDL程序?qū)崿F(xiàn)圖11 2FSK信號解調(diào)的VHDL建模方框圖在該圖中核心部分由分頻器、寄存器、計數(shù)器、判決器組成。由于和的周期不同,如果設(shè)=2,并且基帶信號電平“1”,對應(yīng);基帶信號電平“0”,對應(yīng);,則在圖中計數(shù)器以為時鐘信號,上升沿技術(shù),基帶信號“1”碼元

18、對應(yīng)的技術(shù)個數(shù)為,基帶信號“0”碼元對應(yīng)的技術(shù)個數(shù)為。計數(shù)器根據(jù)兩種不同的技術(shù)情況,對應(yīng)輸出“0”和“1”兩種電平。判決器以為時鐘信號,對計數(shù)器輸出信號進(jìn)行抽樣判決,并輸出基帶信號。圖12 FSK解調(diào)電路的VHDL建模符號圖13 FSK解調(diào)電路的仿真圖6 結(jié)論本設(shè)計是在EDA工具軟件平臺上,以硬件描述語言VHDL為系統(tǒng)邏輯描述手段來完成設(shè)計文件的。通過仿真圖我們能夠看出頻移鍵控的調(diào)制與解調(diào)信號符合原理要求。為了更好地設(shè)計電路,我們在設(shè)計前應(yīng)首先劃分好功能模塊,然后再分別進(jìn)行編寫,最后進(jìn)行綜合分析測試,進(jìn)而達(dá)到要求的效果。參 考 文 獻(xiàn)1.樊昌信.通信原理M.北京:國防工業(yè)出版社,2004:13

19、31452.候伯亨,顧新.VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計M.西安:西安電子科技大學(xué)出版社,2003:11-32.3.曾繁泰.EDA工程概論M清華出版社,2002.4.金西.VHDL與復(fù)雜數(shù)字系統(tǒng)設(shè)計M.西安:西安電子科技大學(xué)出版社,2003.5.李景華,杜玉遠(yuǎn).可編程邏輯器件與EDA技術(shù)M.沈陽:東北大學(xué)出版社,2000.6.張昌凡.可編程邏輯器件及VHDL設(shè)計技術(shù)M.廣州:華南理工大學(xué)出版社,2001.7.曾繁泰,陳美金.VHDL程序設(shè)計M.北京:清華大學(xué)出版社,2001.8.潘松等.VHDL實用教程M.成都:電子科技大學(xué)出版社,2000.9.陳華鴻.移頻鍵控(FSK)及其最新應(yīng)用J

20、.現(xiàn)代計算機(jī),2000(9):36-28.10.金風(fēng)蓮.VHDL語言在EDA仿真上的應(yīng)用J.現(xiàn)代電子技術(shù),2005,(197):115-122.11.李端,VHDL與數(shù)字電路設(shè)計J.電氣開關(guān),2005,(2):6-8.12. LIU Gao-ping,Implementation of FSK Modulator Using SOC Technology J. Research and Exploration in Laboratory,2006,(4):29-32.13. Zhang Xin. Communication Receiver Based on FSK ModulationJ.

21、ournal of Northwestern Polytechnical University.2007Modulation and Demodulation of 2FSK Based On EDA TechnologyWen Qiu YanAbstract:According to EDA various advantages,the paper mainly talks about the basic theory of modulation and demodulation of binary frequency shift keying in the digital communic

22、ation; it also introduces the functions and characteristics of the EDA technology and describes the fundamental features and programmatic structures of the language VHDL used in the system. And then the paper advances the specific methods and the simulated analyzing results of designing the modition

23、 and demodition of the binary frequency shift keying by using the VHDL language under the circumstance of applying the software MAX+PLUS.Key words:FSK modulation and demodulation;EDA technology ;VHDL附錄:(1)2FSK調(diào)制信號的VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.

24、std_logic_unsigned.all;entity fsk_tiaozhi is port(clk : in std_logic; -系統(tǒng)時鐘 start : in std_logic; -開始調(diào)制信號 x : in std_logic; -基帶信號 y : out std_logic); -調(diào)制信號end fsk_tiaozhi;architecture behav of fsk_tiaozhi is signal q1: integer range 0 to 11; -載波信號f1的分頻計數(shù)器 signal q2: integer range 0 to 3; -載波信號f2的分頻計

25、數(shù)器 signal f1,f2: std_logic; -載波信號f1,f2begin process(clk) -此進(jìn)程通過對系統(tǒng)時鐘clk的分頻,得到載波f1 begin if clkevent and clk=1 then if start=0 then q1=0; elsif q1=5 then f1=1;q1=q1+1; -改變q1后面的數(shù)字可以改變載波f1的占空比 elsif q1=11 then f1=0;q1=0; -改變q1后面的數(shù)字可以改變載波f2的頻率 else f1=0;q1=q1+1; end if; end if; end process; process(clk)

26、 -此進(jìn)程通過對系統(tǒng)時鐘clk的分頻,得到載波f2 begin if clkevent and clk=1 then if start=0 then q2=0; elsif q2=0 then f2=1;q2=q2+1; -改變q2后面的數(shù)字可以改變載波f2的占空比 elsif q2=1 then f2=0;q2=0; -改變q2后面的數(shù)字可以改變載波f2的頻率 else f2=0;q2=q2+1; end if; end if; end process; process(clk,x) -此進(jìn)程完成對基帶信號的fsk調(diào)制 begin if clkevent and clk=1 then if x=0 then y=f1; -當(dāng)輸入的基帶信號X0時,輸出的調(diào)制信號YF1 else y=f2

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論