版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、編號(hào): 畢業(yè)設(shè)計(jì)說(shuō)明書(shū)基于eda的數(shù)字頻率計(jì)系統(tǒng)設(shè)計(jì)電子工程與自動(dòng)化學(xué)院測(cè)控技術(shù)與儀器arwen0900820408尚玉玲副研究員題 目: 院 (系):專 業(yè):學(xué)生姓名:學(xué) 號(hào):指導(dǎo)教師:職 稱:理論研究 實(shí)驗(yàn)研究 工程設(shè)計(jì) 工程技術(shù)研究 軟件開(kāi)發(fā)題目類(lèi)型: 年 月 22 日摘 要頻率計(jì)又稱為頻率計(jì)數(shù)器,是一種專門(mén)對(duì)被測(cè)信號(hào)頻率進(jìn)行測(cè)量的電子測(cè)量?jī)x器,其最基本的工作原理為:當(dāng)被測(cè)信號(hào)在特定的時(shí)間段t內(nèi)的周期個(gè)數(shù)n時(shí),則被測(cè)信號(hào)的頻率f=nt.電子計(jì)數(shù)器是一種基礎(chǔ)測(cè)量?jī)x器,到目前為止已有三十多年的發(fā)展歷史。早期,設(shè)計(jì)師們追求的目標(biāo)主要是擴(kuò)展測(cè)量范圍,再加上提高測(cè)量精度、穩(wěn)定度等,這些也是人們衡量
2、電子計(jì)算機(jī)的技術(shù)水平,決定電子技術(shù)器價(jià)格高低的主要依據(jù)。目前這些技術(shù)日臻完善,成熟。應(yīng)用現(xiàn)代技術(shù)可以輕松地將電子計(jì)數(shù)器的頻率擴(kuò)展到微波頻段。本文介紹了頻率計(jì)的一種實(shí)現(xiàn)方法,即由fpga和單片機(jī)相結(jié)合,構(gòu)成整個(gè)系統(tǒng)的測(cè)控主體,被測(cè)信號(hào)輸入到fpga中進(jìn)行頻率的測(cè)量,fpga將測(cè)得的數(shù)據(jù)返回給單片機(jī),由單片機(jī)控制液晶1602進(jìn)行顯示。1602每行可以顯示16個(gè)字符,總共有兩行,即總共可以顯示32個(gè)字符,因此,若要擴(kuò)展頻率計(jì)的計(jì)數(shù)范圍,列如擴(kuò)展到幾十兆赫茲,顯然1602要比數(shù)碼管好用,方便擴(kuò)展頻率范圍,編程也方便。此外,本次設(shè)計(jì)也加入了串口發(fā)送部分,可以把測(cè)得的頻率數(shù)據(jù)通過(guò)串口發(fā)送給上位機(jī),方便測(cè)量
3、人員對(duì)于數(shù)據(jù)的讀取,具有現(xiàn)實(shí)的應(yīng)用意義。關(guān)鍵詞:?jiǎn)纹瑱C(jī) fpga 測(cè)頻 vhdlabstractfrequency meter, also known as a frequency counter, is a specialized electronic measuring instruments ,measuring the frequency of the measured signal, its most basic working principle is: when the number of cycles of the measured signal n within a spec
4、ific time period t,the measured signal frequency f = n / t. electronic counter is a basic measuring instruments so far has been more than 30 years of development history. early pursuit of the goal of the designers is to extend the measuring range, plus improve the measurement accuracy, stability, an
5、d these also measure the level of computer technology, the decision based primarily on the level of prices of electronic technology. these technologies are getting more sophisticated, mature. the application of modern technology can be easily extended to the frequency of the electronic counter micro
6、wave band. this paper describes an implementation method of frequency meter, that is, using the combination of fpga and microcontroller, constitute the entire system monitoring body, the signal input to the fpga for frequency measurements, fpga return the measured data back to the microcontroller, t
7、he microcontroller control of liquid crystal display 1602. 1602 can be displayed 16 characters per line, two lines that can display a total of 32 characters, so that it can be used to expand the scope of counting frequency meter, columns such as extended to tens of megahertz, apparently digital hand
8、y than 1602 to facilitate the extended frequency range, the programming is also convenient. in addition, this design also joined the serial transmission section, you can put the measured frequency data be sent to the host computer via the serial port to facilitate the measurement of personnel for da
9、ta be read, it has a practical application significance.key words:microcontroller fpga frequency measurement vhdl目 錄引言11 數(shù)字頻率計(jì)的要求21.1 主要內(nèi)容21.2 技術(shù)指標(biāo) 32 電子計(jì)數(shù)法測(cè)頻原理33 整個(gè)測(cè)頻系統(tǒng)的構(gòu)思框圖44 本次設(shè)計(jì)的硬件部分54.1 單片機(jī)部分54.2 fpga部分 105 本次設(shè)計(jì)的軟件部分115.1 單片機(jī)部分的程序流程圖125.2 fpga部分的程序 135.2.1 閘門(mén)產(chǎn)生模塊135.2.2 測(cè)頻控制器155.2.3 6位10進(jìn)制計(jì)數(shù)器16
10、5.2.4 鎖存器185.2.5 數(shù)據(jù)輸出部分195.3 fpga測(cè)頻的頂層原理圖216 電路調(diào)試216.1 硬件調(diào)試216.2 軟件調(diào)試236.3 調(diào)試故障及解決辦法256.3.1 硬件調(diào)試故障及解決辦法256.3.2 軟件部分調(diào)試257 測(cè)量記錄集數(shù)據(jù)分析 278 結(jié)論29參考文獻(xiàn).30致 謝 .31附錄a .32附錄b. 35引言數(shù)字頻率計(jì)是數(shù)字電路中的一個(gè)典型應(yīng)用,實(shí)際的硬件設(shè)計(jì)用到的器件較多,連線比較復(fù)雜,而且會(huì)產(chǎn)生比較大的延時(shí),造成測(cè)量誤差、可靠性差。隨著現(xiàn)場(chǎng)可編程門(mén)陣列fpga的廣泛應(yīng)用,以eda工具作為開(kāi)發(fā)手段,運(yùn)用vhdl等硬件描述語(yǔ)言,將使整個(gè)系統(tǒng)大大簡(jiǎn)化,提高了系統(tǒng)的整體
11、性能和可靠性。 隨著微電子技術(shù)、 大規(guī)??删幊踢壿嬈骷?、新型顯示器件和計(jì)算機(jī)技術(shù)的飛速發(fā)展,電子儀器也得到了進(jìn)一步的發(fā)展,如功能單一的傳統(tǒng)測(cè)量?jī)x器逐步向智能儀器發(fā)展。 數(shù)字頻率計(jì)是一種基本的測(cè)量?jī)x器, 它被廣泛應(yīng)用于航天、電子、測(cè)控等領(lǐng)域。數(shù)字頻率計(jì)可實(shí)現(xiàn)的方法、可選用的器件是多種多樣的,可以運(yùn)用傳統(tǒng)模擬電路的手段如響應(yīng)測(cè)頻法、比較測(cè)頻法測(cè)量,但是模擬信號(hào)由于其自身的特點(diǎn)沒(méi)有數(shù)字信號(hào)穩(wěn)定,因此數(shù)字電路出現(xiàn)后,馬上就出現(xiàn)了數(shù)字頻率計(jì)。但是數(shù)字電路的方法使用大量的數(shù)字元器件,電路原理復(fù)雜,硬件調(diào)試麻煩。以 eda技術(shù)為核心的設(shè)計(jì)方法,使用的元器件少,原理電路簡(jiǎn)單,調(diào)試方便,只需要修改源程序即可。
12、 eda( 電子設(shè)計(jì)自動(dòng)化) 代表了當(dāng)今電子設(shè)計(jì)技術(shù)的最新發(fā)展方向,它的基本特征是: 設(shè)計(jì)人員按照自頂向下 ( top- down) 的設(shè)計(jì)方法,對(duì)整個(gè)系統(tǒng)進(jìn)行方案設(shè)計(jì)和功能劃分,系統(tǒng)的關(guān)鍵電路用一片或幾片專用集成電路( asic) 實(shí)現(xiàn),然后采用硬件描述語(yǔ)言( hdl) 完成系統(tǒng)行為級(jí)設(shè)計(jì),最后通過(guò)綜合器和適配器生成最終目標(biāo)器件 eda 技術(shù)可以通過(guò)軟件編程對(duì)目標(biāo)器件的結(jié)構(gòu)和工作方式進(jìn)行重構(gòu),能隨時(shí)對(duì)設(shè)計(jì)進(jìn)行調(diào)整,具有集成度高、結(jié)構(gòu)靈活、開(kāi)發(fā)周期短、快速可靠性高等特點(diǎn),數(shù)字設(shè)計(jì)在其中快速發(fā)展,應(yīng)用這種技術(shù)可使設(shè)計(jì)過(guò)程大大簡(jiǎn)化。 vhdl 語(yǔ)言最大的特點(diǎn)是描述能力極強(qiáng), 可以覆蓋邏輯設(shè)計(jì)的諸多
13、領(lǐng)域和層次, 并支持眾多的硬件模型 其特點(diǎn)包括: 設(shè)計(jì)技術(shù)齊全,方法靈活,支持廣泛; 系統(tǒng)硬件描述能力強(qiáng); vhdl 語(yǔ)言可以與工藝無(wú)關(guān)地進(jìn)行編程; vhdl 語(yǔ)言標(biāo)準(zhǔn)規(guī)范,易于共享和重用 頻率是周期性信號(hào)在單位時(shí)間(1s)里變化的次數(shù),而測(cè)量頻率的方法從原理上大體可以分為: 電橋法 頻響法 諧振法 模擬法 拍頻法 比較法 差頻發(fā) 李薩如圖形法頻率測(cè)量方法 示波法 電容充放電法 測(cè)周期法 數(shù)字法 電子計(jì)數(shù)式本次設(shè)計(jì)就是采用電子計(jì)數(shù)式中的測(cè)頻法來(lái)進(jìn)行測(cè)量,其基本原理是:首先讓被測(cè)信號(hào)與標(biāo)準(zhǔn)信號(hào)一起通過(guò)一個(gè)閘門(mén),然后用計(jì)數(shù)器計(jì)數(shù)信號(hào)脈沖的個(gè)數(shù),把標(biāo)準(zhǔn)時(shí)間內(nèi)的計(jì)數(shù)的結(jié)果,用鎖存器鎖存起來(lái),最后把鎖存
14、的結(jié)果傳給單片機(jī),單片機(jī)控制液晶1602進(jìn)行顯示。本次設(shè)計(jì)還加入了串口發(fā)送部分,單片機(jī)可以通過(guò)串口,將測(cè)得的數(shù)據(jù)發(fā)送到上位機(jī),上位機(jī)可以用labview來(lái)接收顯示,這就等于加入了虛擬儀器的思想。1 數(shù)字頻率計(jì)的要求1.1 主要內(nèi)容 (1) 研究基于eda的數(shù)字頻率計(jì)系統(tǒng)設(shè)計(jì)方法與原理。 (2) 硬件電路設(shè)計(jì)(fpga和單片機(jī)結(jié)合,構(gòu)成整個(gè)系統(tǒng)的測(cè)控主體,在 at89c51 單片機(jī)的控制下送入fpga芯片)。 (3) 基于eda技術(shù)、vhdl語(yǔ)言完成各模塊設(shè)計(jì)并仿真實(shí)現(xiàn)。 (4) 實(shí)現(xiàn)整機(jī)系統(tǒng)。1.2 技術(shù)指標(biāo): 基本指標(biāo):(1)測(cè)量范圍:1hz99.999khz,分頻1hz。(2)特點(diǎn):利用e
15、da技術(shù)可提高電路設(shè)計(jì)的效率。(3)方法:分模塊設(shè)計(jì),采用自底向上的文法。(4)輸入波形:正弦波,方波,三角波。(5)顯示方法:5位led數(shù)碼顯示。(6)測(cè)量的范圍在1hz99.999kzh,分頻為1hz,并在數(shù)碼管上顯示。 (7)能夠在eda試驗(yàn)箱上顯示出上述的波形并能夠?qū)崿F(xiàn)分頻。 擴(kuò)展部分:(1)測(cè)量的范圍擴(kuò)大,從1hz到999.999khz。(2)顯示部分,由于測(cè)量頻率有原來(lái)的5位數(shù)變成了6位數(shù),如果用數(shù)碼管來(lái)顯示則顯得累贅,因此改用液晶1602來(lái)進(jìn)行顯示。(3)增加串口發(fā)送部分,讓上位機(jī)也可以顯示,加入了虛擬儀器的思想。2 電子計(jì)數(shù)法測(cè)頻原理在電子技術(shù)中,頻率是最基本的參數(shù)之一,并且與
16、許多電參量的測(cè)量方案、測(cè)量結(jié)果都有十分密切的關(guān)系。因此,頻率的測(cè)量就顯得更為重要。測(cè)量頻率的方法有多種,其中電子計(jì)數(shù)器測(cè)量頻率具有精度高、使用方便、測(cè)量迅速,以及便于實(shí)現(xiàn)測(cè)量過(guò)程自動(dòng)化等優(yōu)點(diǎn),是頻率測(cè)量的重要手段之一。數(shù)字式頻率計(jì)的測(cè)量原理有兩類(lèi):一是直接測(cè)頻法,即在一定閘門(mén)時(shí)間內(nèi)測(cè)量被測(cè)信號(hào)的脈沖個(gè)數(shù) ;二是間接測(cè)頻法即測(cè)周期法,如周期測(cè)頻法。直接測(cè)頻法適用于高頻信號(hào)的頻率測(cè)量,通常采用計(jì)數(shù)器、數(shù)據(jù)鎖存器及控制電路實(shí)現(xiàn),并通過(guò)改變計(jì)數(shù)器閥門(mén)的時(shí)間長(zhǎng)短在達(dá)到不同的測(cè)量精度;間接測(cè)頻法適用于低頻信號(hào)的頻率測(cè)量。直接測(cè)頻法:即在一定閘門(mén)時(shí)間內(nèi)測(cè)量被測(cè)信號(hào)的脈沖個(gè)數(shù),計(jì)數(shù)結(jié)果直接顯示在數(shù)碼管上,當(dāng)脈
17、沖個(gè)數(shù)為n,閘門(mén)時(shí)間為t時(shí),則所測(cè)被測(cè)信號(hào)的頻率f=n/t。優(yōu)點(diǎn):該方法精度高、使用方便、測(cè)量迅速,以及便于實(shí)現(xiàn)測(cè)量過(guò)程自動(dòng)化,測(cè)量結(jié)果就是頻率,簡(jiǎn)單易實(shí)現(xiàn)。缺點(diǎn):存在量化誤差和閘門(mén)時(shí)間誤差,不宜測(cè)量低頻信號(hào)的頻率。間接測(cè)頻法:即通過(guò)測(cè)量被測(cè)信號(hào)的周期來(lái)間接測(cè)頻率,該方法以被測(cè)信號(hào)的周期tx為閘門(mén)時(shí)間,對(duì)周期已知的脈沖信號(hào)計(jì)數(shù)n,則被測(cè)信號(hào)的頻率為fx=1/ntc。優(yōu)點(diǎn):測(cè)量精度高,誤差較小缺點(diǎn):不易實(shí)現(xiàn),電路比較復(fù)雜,結(jié)果不直觀綜合比較以上兩種方案,本著簡(jiǎn)單易實(shí)現(xiàn)和高性價(jià)比的原則。選用直接測(cè)頻法。根據(jù)頻率的定義,若某一信號(hào)在t時(shí)間內(nèi)重復(fù)變化了n次,則可知該信號(hào)的頻率為f=n/t這種方法可簡(jiǎn)稱
18、為“定時(shí)計(jì)數(shù)”,其實(shí)際屬于比較法測(cè)頻,比較的時(shí)間基準(zhǔn)是閘門(mén)信號(hào)t。一般的數(shù)字頻率計(jì)主要由4個(gè)部分構(gòu)成: 輸入電路、閘門(mén)電路,計(jì)數(shù)顯示電路和控制電路,信號(hào)調(diào)理器、閘門(mén)電路,計(jì)數(shù)、譯碼、顯示、清零、時(shí)基和邏輯控制電路等組成。測(cè)頻的原理框圖如下:顯示器譯碼器計(jì)數(shù)電路主門(mén)(與門(mén))放大整形us(fs) 控制(邏輯)電路門(mén)控雙穩(wěn)分頻整形fc 10ms 10s圖 2.1 測(cè)頻的原理框圖3 整個(gè)測(cè)頻系統(tǒng)的構(gòu)思框圖用單片機(jī)或者fpga都可以單獨(dú)實(shí)現(xiàn)測(cè)頻,而只基于單片機(jī)的頻率測(cè)量計(jì)設(shè)計(jì)主要是以單片機(jī)為基礎(chǔ),原理簡(jiǎn)單,但由于自身精度問(wèn)題使測(cè)量的范圍小,且本次設(shè)計(jì)的任務(wù)書(shū)要求用fpga和單片機(jī)構(gòu)成整個(gè)測(cè)頻體系的主體,
19、并且基于fpga和單片機(jī)結(jié)合的頻率測(cè)量設(shè)計(jì)主要是以單片機(jī)作為系統(tǒng)的主控部件,fpga完成對(duì)時(shí)序邏輯控制、計(jì)數(shù)功能,能較好的利用了fpga的高精度、高速等方面的優(yōu)勢(shì)。單片機(jī)和fpga,首先從它們的工作方式上說(shuō),完全就是兩種截然不同的器件,一般單片機(jī)集成度較高,它可以單獨(dú)完成信號(hào)采集、運(yùn)算、還有i/o設(shè)備控制;而fpga的特點(diǎn)則是邏輯控制能力很強(qiáng),且性價(jià)比非常高,但是不適合單獨(dú)使用,且沒(méi)有指令系統(tǒng),常會(huì)與dsp、arm、單片機(jī)等結(jié)合使用,發(fā)揮各自的優(yōu)勢(shì),使系統(tǒng)的功能更全面、高效、可靠。另外,fpga的價(jià)格要比單片機(jī)貴很多。fpga與單片機(jī)相對(duì)比,主要優(yōu)勢(shì)是速度快、應(yīng)用靈活,主要是源于fpga的硬邏
20、輯方式,由于fpga的邏輯功能全部用硬件電路實(shí)現(xiàn),因此所有的延遲只來(lái)源于門(mén)電路,而且一般的門(mén)電路延時(shí)都在ns級(jí)別。根據(jù)測(cè)頻的原理以及這次設(shè)計(jì)的任務(wù)書(shū)的要求,整個(gè)測(cè)頻系統(tǒng)的構(gòu)思框圖如下:整形電路fpga輸入信號(hào)選通信號(hào)測(cè)量數(shù)據(jù)1602顯示at89c51 lcd輸出 按鍵控制 上位機(jī)顯示 串口輸出圖3.1 整個(gè)測(cè)頻系統(tǒng)的構(gòu)思框圖輸入信號(hào)為正弦波、方波、三角波的任意一種,經(jīng)過(guò)整形電路以后都變成便于fpga進(jìn)行測(cè)頻的脈沖信號(hào),fpga對(duì)輸入的信號(hào)進(jìn)行計(jì)數(shù),接著根據(jù)單片機(jī)傳來(lái)的選通信號(hào)把測(cè)得的24位數(shù)據(jù)(fpga傳過(guò)來(lái)的是bcd碼,測(cè)頻的范圍要達(dá)到六位數(shù)故有24位bcd碼)輸出給單片機(jī),單片機(jī)將數(shù)據(jù)處理
21、后送去1602顯示,并根據(jù)按鍵的有無(wú)選則是否發(fā)送給上位機(jī)。4 本次設(shè)計(jì)的硬件部分 硬件部分是整個(gè)測(cè)量體系的基礎(chǔ),硬件的好壞也關(guān)系到這次設(shè)計(jì)的成敗。這次設(shè)計(jì)的硬件主要分為兩大模塊:?jiǎn)纹瑱C(jī)部分和fpga部分。4.1 單片機(jī)部分單片機(jī)部分的硬件電路分為六個(gè)模塊:?jiǎn)纹瑱C(jī)主體系統(tǒng)、整形電路模塊、顯示模塊、獨(dú)立按鍵模塊、下載器串口模塊及max232的串口發(fā)送模塊。下面對(duì)各個(gè)模塊進(jìn)行分析。這部分的設(shè)計(jì)思路是:利用單片機(jī)p2口接收f(shuō)pga傳送過(guò)來(lái)的測(cè)頻數(shù)據(jù),將接收到的數(shù)據(jù)經(jīng)p0口送到液晶1602進(jìn)行顯示,同時(shí)判斷有無(wú)按鍵按下,若有,則同時(shí)將測(cè)得的數(shù)據(jù)通過(guò)串口發(fā)送給上位機(jī)進(jìn)行顯示。整形電路的作用是把輸入的正弦波
22、,三角波、和方波整形成周期性的脈沖信號(hào),以便于直接輸入fpga進(jìn)行測(cè)頻。 下面是各個(gè)小模塊的電路圖(1)單片機(jī)主體部分單片機(jī)最小系統(tǒng)包括單片機(jī)、復(fù)位電路和晶振電路,其原理圖如圖4.1: 圖4.1 單片機(jī)主體本次用的單片機(jī)是at89s52,at89s52主要性能特點(diǎn):4k bytes flash片內(nèi)程序存儲(chǔ)器;128 bytes的隨機(jī)存取數(shù)據(jù)存儲(chǔ)器(ram);32個(gè)外部雙向輸入/輸出(i/o)口;2個(gè)中斷優(yōu)先級(jí)、2層中斷嵌套中斷;6個(gè)中斷源;2個(gè)16位可編程定時(shí)器/計(jì)數(shù)器;2個(gè)全雙工串行通信口;看門(mén)狗(wdt)電路;片內(nèi)振蕩器和時(shí)鐘電路;與mcs-51兼容;全靜態(tài)工作:0hz-33mhz;三級(jí)程
23、序存儲(chǔ)器保密鎖定;可編程串行通道;低功耗的閑置和掉電模式。這次用的at89s52其32個(gè)i/o口都基本上用上了。其p1口用來(lái)接收按鍵信息以及控制下載口,如圖4.2:按鍵電路 下載口圖4.2 按鍵電路以及下載口電路at89s52的p0口用來(lái)把測(cè)得的數(shù)據(jù)送給液晶顯示,因?yàn)閜0口要接負(fù)載,所以應(yīng)先對(duì)其進(jìn)行寫(xiě)1操作,即接排阻拉高,p0口所接電路如圖4.3所示:圖4.3 液晶1602電路 液晶部分本來(lái)en端和rst端是接到p20和p21的,但是結(jié)合到后面的fpga要向單片機(jī)傳送數(shù)據(jù)部分的電路,采用并行傳送,一次傳送8位,而現(xiàn)在p0和p1口已經(jīng)被占用了,p3口的幾個(gè)腳也被串口部分占用了,這樣就沒(méi)有一個(gè)完整
24、的并行i/o口可以用來(lái)接收數(shù)據(jù),因此后面我把液晶部分的en端和rst改接到p33和p32腳去了。這樣就可以把p2腳完整的空出來(lái)以接收f(shuō)pga傳送過(guò)來(lái)的數(shù)據(jù),便于軟件部分的編寫(xiě)。單片機(jī)的晶振電路和復(fù)位電路都是固定的接法,在此也不做詳細(xì)介紹,只把電路貼出來(lái),其中晶振的頻率是11.0592mhz的晶振,之所以選11.0592mhz的晶振而不是選12mhz的晶振,原因是如果用12mhz的晶振則上位機(jī)不能正常接收串口傳來(lái)的數(shù)據(jù),接收到的只是一堆亂碼,這一點(diǎn)已經(jīng)經(jīng)試驗(yàn)驗(yàn)證過(guò)了,如果把晶振頻率改為11.0592mhz則可以正常顯示接收到的數(shù)據(jù)。晶振電路和復(fù)位電路如圖4.4所示。復(fù)位電路 晶振電路圖4.4 單
25、片機(jī)的晶振電路和復(fù)位電路(2)電平轉(zhuǎn)換電路圖4.5電平轉(zhuǎn)換電路這部分電路的作用是將單片機(jī)發(fā)出來(lái)的數(shù)據(jù)的電平轉(zhuǎn)換為電腦可以識(shí)別的電平,其主體芯片為max232,max232芯片是專門(mén)為電腦的rs-232標(biāo)準(zhǔn)串口設(shè)計(jì)的接口電路,使用+5v單電源供電。內(nèi)部結(jié)構(gòu)基本可分三個(gè)部分: 第一部分是電荷泵電路。由1、2、3、4、5、6腳和4只電容構(gòu)成。功能是產(chǎn)生+12v和-12v兩個(gè)電源,提供給rs-232串口電平的需要。 第二部分是數(shù)據(jù)轉(zhuǎn)換通道。由7、8、9、10、11、12、13、14腳構(gòu)成兩個(gè)數(shù)據(jù)通道。其中13腳(r1in)、12腳(r1out)、11腳(t1in)、14腳(t1out)為第一數(shù)據(jù)通道。
26、8腳(r2in)、9腳(r2out)、10腳(t2in)、7腳(t2out)為第二數(shù)據(jù)通道。ttl/cmos數(shù)據(jù)從t1in、t2in輸入轉(zhuǎn)換成rs-232數(shù)據(jù)從t1out、t2out送到電腦dp9插頭;dp9插頭的rs-232數(shù)據(jù)從r1in、r2in輸入轉(zhuǎn)換成ttl/cmos數(shù)據(jù)后從r1out、r2out輸出。 第三部分是供電。15腳dng、16腳vcc(+5v)。串行接口db9常用信號(hào)腳說(shuō)明如下:1腳 數(shù)據(jù)載波檢測(cè) dcd; 2腳 接收數(shù)據(jù) rxd;3腳 發(fā)送數(shù)據(jù) txd; 4腳 數(shù)據(jù)終端準(zhǔn)備 dtr5腳 信號(hào)地 gnd; 6腳 數(shù)據(jù)設(shè)備準(zhǔn)備好dsr7腳 請(qǐng)求發(fā)送 rts; 8 清除發(fā)送 c
27、ts9腳 振鈴指示 ri 本次用到的就只有從max232接收數(shù)據(jù)的2腳以及向max232發(fā)送數(shù)據(jù)的3腳,因?yàn)楸敬卧O(shè)計(jì)只需要進(jìn)行單向數(shù)據(jù)傳送。其中公頭和母頭的接法是不一樣的,在具體的使用時(shí)要加以區(qū)分,否則不能進(jìn)行正常的數(shù)據(jù)傳送。(3) 整形電路部分 圖4.6 整形電路這部分電路的主體芯片是lm393,lm393是由兩個(gè)獨(dú)立的、高精度的比較器組成的集成電路,失調(diào)電壓低、失調(diào)電壓最大為2mv。它專為獲得寬電壓范圍、單電源供電而設(shè)計(jì),也可以以雙電源供電;而且無(wú)論電源電壓大小,電源消耗的電流都很低。它還有一個(gè)特點(diǎn):即使單電源供電,比較器的共模輸入電壓范圍都接近地電平。 lm393主要應(yīng)用于限幅器、簡(jiǎn)單的
28、模/數(shù)轉(zhuǎn)換器、方波發(fā)生器、延時(shí)發(fā)生器、寬頻壓控振蕩器、mos時(shí)鐘計(jì)時(shí)器、多頻振蕩器和高電平數(shù)字邏輯們電路。393被設(shè)計(jì)成能直接連接ttl和cmos;當(dāng)用雙電源供電時(shí),它能兼容mos邏輯電路,這是低功耗的393相較于標(biāo)準(zhǔn)比較器的獨(dú)特優(yōu)勢(shì)。 lm393的優(yōu)勢(shì):高精度比較器;減少由于溫漂引起的失調(diào)電壓;可以單電源供電;輸入共模電壓范圍接近地電平;兼容邏輯電路。 lm393的特點(diǎn):電源電壓范圍寬(單電源:2v到36v,雙電源:正負(fù)1v到正負(fù)18v);電源電流消耗很低(0.4ma);輸入偏置電流低(25na);輸入失調(diào)電流低(正負(fù)5na);最大輸入失調(diào)電壓(正負(fù)3mv);輸入共模電壓范圍接近地電平;差模
29、輸入電壓范圍等于電源電壓;輸出飽和電壓低(250mv at 4ma);輸出電平兼容ttl,dtl,ecl,mos,cmos邏輯系統(tǒng)。整形電路是對(duì)正弦波,三角波等一些不是方波的待測(cè)信號(hào)轉(zhuǎn)化成方波信號(hào),便于測(cè)量。使用的是lm393芯片做的的過(guò)零電壓比較器,來(lái)實(shí)現(xiàn)電路的整形。電路中r1,r2,r3形成正反饋,在r2上的分壓構(gòu)成比較器的參考電壓vref,輸入信號(hào)從負(fù)端輸入,運(yùn)放處于開(kāi)環(huán)工作狀態(tài),具有很高的開(kāi)環(huán)增益,當(dāng)輸入信號(hào)電壓vi小于參考電壓,運(yùn)放處于負(fù)飽和狀態(tài),v0=v0l;當(dāng)輸入信號(hào)電壓大于參考電壓時(shí),運(yùn)放立即轉(zhuǎn)入正飽和狀態(tài),v0=v0h,由于運(yùn)放的開(kāi)環(huán)增益很大,所以v0幾乎是突變的,輸出電壓v
30、0就是只有高低電平變化的周期性脈沖,本次設(shè)計(jì)引入正反饋,構(gòu)成一個(gè)遲滯比較器可以避免輸入信號(hào)附近的微小干擾,避免輸出電壓產(chǎn)生抖動(dòng)。遲滯比較器是一個(gè)具有遲滯回環(huán)傳輸特性的比較器。在反相輸入單門(mén)限電壓比較器的基礎(chǔ)上引入正反饋網(wǎng)絡(luò),就組成了具有雙門(mén)限值的反相輸入遲滯比較器。由于反饋的作用這種比較器的門(mén)限電壓是隨輸出電壓的變化而變化的。它的靈敏度低一些,但抗干擾能力卻大大提高。遲滯比較器又可理解為加正反饋的單限比較器。4.2 fpga部分 fpga是現(xiàn)場(chǎng)可編程門(mén)陣列(field programmable gate array)的簡(jiǎn)稱,與之相應(yīng)的cpld是復(fù)雜可編程邏輯器件(complex program
31、mable logic device)的簡(jiǎn)稱,兩者的功能基本相同,只是實(shí)現(xiàn)原理略有不同,所以有時(shí)可以忽略這兩者的區(qū)別,統(tǒng)稱為可編程邏輯器件或cpld/pgfa。cpld/pgfa幾乎能完成任何數(shù)字器件的功能,上至高性能cpu,下至簡(jiǎn)單的74電路。它如同一張白紙或是一堆積木,工程師可以通過(guò)傳統(tǒng)的原理圖輸入或硬件描述語(yǔ)言自由的設(shè)計(jì)一個(gè)數(shù)字系統(tǒng)。通過(guò)軟件仿真可以事先驗(yàn)證設(shè)計(jì)的正確性,在pcb完成以后,利用cpld/fpga的在線修改功能,隨時(shí)修改設(shè)計(jì)而不必改動(dòng)硬件電路。使用cpla/fpga開(kāi)發(fā)數(shù)字電路,可以大大縮短設(shè)計(jì)時(shí)間,減少pcb面積,提高系統(tǒng)的可靠性。這些優(yōu)點(diǎn)使得cpla/fpga技術(shù)在20
32、世紀(jì)90年代以后得到飛速的發(fā)展,同時(shí)也大大推動(dòng)了eda軟件和硬件描述語(yǔ)言hdl的進(jìn)步。fpga一般可由三種可編程電路和一個(gè)用于存放編程數(shù)據(jù)的sram組成,這三種可編程電路是:可編程邏輯塊(clb)、輸入/ 輸出模塊( iob)和互聯(lián)資源( ir)。clb是fpga 的主要組成部分,是實(shí)現(xiàn)邏輯功能的基本單元。它主要是由邏輯函數(shù)發(fā)生器、觸發(fā)器、譯碼器等電路組成。iob提供了器件引腳和內(nèi)部邏輯陣列之間的連接,通常排列在芯片的四周。其主要是由輸入觸發(fā)器、輸入緩沖器、輸出觸發(fā)/鎖存器和輸出緩沖器組成。每一個(gè)iob控制一個(gè)引腳,有輸入、輸出或雙向i/o三種功能。這部分的硬件主要是一塊fpga最小系統(tǒng)版和一
33、個(gè)usb-blaster 下載器,如圖4.7所示:圖4.7 fpga主體板fpga最小最小系統(tǒng)板的主體芯片是ep2c5t144c8,其資源表如下: 這塊芯片的特色為:器件le = 4,608;內(nèi)部ram = 119,808;pll = 2;配置eprom芯片采用epcs4,大小為4mbit;板載50m有源貼片晶振;電源采用大口電源插座,單5v供電;板上有電源指示燈和復(fù)位開(kāi)關(guān);板載3個(gè)貼片 led,可以做簡(jiǎn)單的測(cè)試實(shí)驗(yàn),更多實(shí)驗(yàn)利用引線完成所有io口和時(shí)鐘引腳通過(guò)排針引出,通過(guò)這些接口可以擴(kuò)展任何存儲(chǔ)器和外設(shè)。支持niosii嵌入式cpu的開(kāi)發(fā)。外表美觀大方, 含有定位孔, 擺放自如.板載兩種下
34、載模式,即as 模式和jtag模式。 一般來(lái)說(shuō)altera公司cyclone或者cycloneii系列fpga相應(yīng)的配置器件會(huì)選擇epcs 系列串行 flash。一般使用 as 模式下載 epcs 系列器件。但有時(shí)候可能遇到 as模式不能成功下載的案例,原理圖以及 pcb板都是按照推薦電路設(shè)計(jì),這時(shí)候我們可以通過(guò)flash loader檢驗(yàn)epcs器件是否良好。 即通過(guò)jtag方式下載epcs系列器件。如果這種方式還是不能進(jìn)行正確的 as 模式的下載的話,可能 epcs 器件本身已經(jīng)不能正常工作了。5 本次設(shè)計(jì)的軟件部分 如果說(shuō)硬件是整個(gè)測(cè)試系統(tǒng)的基礎(chǔ)的話,那么軟件就是整個(gè)測(cè)試系統(tǒng)的精華,要實(shí)
35、現(xiàn)所要求的功能,比如說(shuō)測(cè)量頻率的范圍、精度等,所有這些都是要靠軟件來(lái)實(shí)現(xiàn)。一個(gè)完整的軟件開(kāi)發(fā)流程應(yīng)該包括以下這幾個(gè)步驟;(1)、調(diào)研報(bào)告:向客戶調(diào)研軟件項(xiàng)目的需求,需要工作流程,功能要求等等。編寫(xiě)需求分析報(bào)告,并經(jīng)過(guò)評(píng)審?fù)ㄟ^(guò)為止。(2)、系統(tǒng)分析:根據(jù)調(diào)研報(bào)告,分析軟件系統(tǒng)的功能要求,外部接口,系統(tǒng)的運(yùn)行環(huán)境(軟、硬)以及開(kāi)發(fā)環(huán)境。 (3)、概要設(shè)計(jì):根據(jù)調(diào)研報(bào)告,系統(tǒng)分析結(jié)果完成系統(tǒng)的功能劃分。數(shù)據(jù)庫(kù)的結(jié)構(gòu)設(shè)計(jì)(概念設(shè)計(jì)、物理設(shè)計(jì)),編寫(xiě)概要設(shè)計(jì)說(shuō)明書(shū),并經(jīng)過(guò)評(píng)審?fù)ㄟ^(guò)為止。(4)、詳細(xì)設(shè)計(jì):根據(jù)概要設(shè)計(jì)說(shuō)明書(shū),完成詳細(xì)功能切分,界面設(shè)計(jì)以及功能函數(shù)、接口函數(shù)的分類(lèi),編寫(xiě)詳細(xì)設(shè)計(jì)說(shuō)明書(shū),并經(jīng)過(guò)
36、評(píng)審?fù)ㄟ^(guò)為止。 (5)、編碼:根據(jù)詳細(xì)設(shè)計(jì)說(shuō)明書(shū),完成編寫(xiě)各類(lèi)代碼的工作。 (6)、單元測(cè)試:將軟件系統(tǒng)按功能劃分成單元,進(jìn)行單項(xiàng)測(cè)試。 (7)、系統(tǒng)測(cè)試:在單元測(cè)試無(wú)誤的情況下,完成整個(gè)軟件的總體測(cè)試,并進(jìn)行軟件系統(tǒng)的安裝制作(制作成安裝盤(pán)制作成安裝盤(pán)制作成安裝盤(pán)制作成安裝盤(pán)),通過(guò)安裝程序在目標(biāo)機(jī)器上進(jìn)行安裝,再多次測(cè)試軟件的整體性能,并編寫(xiě)出軟件測(cè)試報(bào)告。 (8)、用戶手冊(cè):在軟件項(xiàng)目開(kāi)發(fā)完成,并且系統(tǒng)測(cè)試無(wú)誤后,編寫(xiě)用戶操作手冊(cè)(包括安 裝說(shuō)明、使用說(shuō)明、運(yùn)行環(huán)境以及注意事項(xiàng))。 (9)、使用培訓(xùn):軟件研發(fā)結(jié)束后,應(yīng)對(duì)用戶進(jìn)行培訓(xùn)。 (10)、文檔管理:軟件項(xiàng)目的所有文檔,包括源程序移
37、交專人保管。(11)、系統(tǒng)維護(hù):經(jīng)常進(jìn)行系統(tǒng)維護(hù)工作(根據(jù)“客戶信息反饋表”酌情進(jìn)行維護(hù))。在本次設(shè)計(jì)中,軟硬件都比較簡(jiǎn)單,顯然不用這么多步驟,只需結(jié)合相應(yīng)的硬件和所要實(shí)現(xiàn)的功能來(lái)編寫(xiě)就行,另外也應(yīng)該用自己所擅長(zhǎng)的編程語(yǔ)言來(lái)進(jìn)行編寫(xiě)。軟件部分也是根據(jù)整個(gè)測(cè)頻體系的構(gòu)成,把軟件部分分成單片機(jī)和fpga兩大部分。5.1 單片機(jī)部分的程序流程圖開(kāi)始判斷有無(wú)按鍵按下串口方式初始化有1602初始化串口發(fā)送給上位機(jī)無(wú)1602第一行顯示the frequency is結(jié)束en=1,接收f(shuō)pga傳來(lái)的數(shù)據(jù)。數(shù)據(jù)送到1602進(jìn)行顯示圖5.1 單片機(jī)部分的程序流程圖總體程序以及注釋見(jiàn)附錄。5.2 fpga部分的程
38、序 這部分程序的功能就是實(shí)現(xiàn)測(cè)頻,因此包括的部分有:閘門(mén)產(chǎn)生模塊,測(cè)頻控制器 ,十進(jìn)制計(jì)數(shù)器,6位10進(jìn)制計(jì)數(shù)器,鎖存器,數(shù)據(jù)輸出部分。下面一一進(jìn)行介紹; 5.2.1 閘門(mén)產(chǎn)生模塊 頻率計(jì)電路工作時(shí)先要產(chǎn)生一個(gè)計(jì)數(shù)允許信號(hào)(即閘門(mén)信號(hào)),閘門(mén)信號(hào)的寬度為單位時(shí)間,如1s。在閘門(mén)信號(hào)有效時(shí)間內(nèi),對(duì)被測(cè)信號(hào)計(jì)數(shù),即為信號(hào)的頻率。該頻率計(jì)電路的精度取決于閘門(mén)信號(hào)t。這部分最初的構(gòu)思是利用fpga自帶的50mhz的晶振,進(jìn)行一次50分頻,六次10分頻,進(jìn)而產(chǎn)生出1hz的閘門(mén)信號(hào),但是后面發(fā)現(xiàn)這樣分頻產(chǎn)生的閘門(mén)信號(hào)作為輸入時(shí)所測(cè)得的數(shù)據(jù)一值在跳動(dòng),因此懷疑這塊板子的晶振不穩(wěn)定,于是決定從外部信號(hào)源輸入一
39、個(gè)穩(wěn)定的閘門(mén)給fpga進(jìn)行測(cè)量。本設(shè)計(jì)中選取的基準(zhǔn)信號(hào)頻率為1khz,為了得到1s高電平的周期性閘門(mén)信號(hào),本設(shè)計(jì)采用對(duì)頻率為1khz基準(zhǔn)信號(hào)進(jìn)行3個(gè)10分頻,這樣得到的門(mén)閘信號(hào)高電平為1秒鐘。10進(jìn)制計(jì)數(shù)器的vhdl程序如下: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jishu10 is -十進(jìn)制計(jì)數(shù)器的實(shí)體描述部分port(clk,rst,en:in std_logic; -端口定義cq:out std_logic_vector(3 downto 0);cout:out s
40、td_logic);end jishu10;architecture behav of jishu10 is -十進(jìn)制計(jì)數(shù)器的結(jié)構(gòu)體描述部分beginprocess(clk,rst,en)-進(jìn)程語(yǔ)句variable cqi:std_logic_vector(3 downto 0); -vhdl的變量定義beginif rst=1 then cqi:=(others=0); -判斷開(kāi)始計(jì)數(shù)的條件elsif clkevent and clk=1 thenif en=1 then if cqi=9 then cqi:=(others=0);cout=1 ; -計(jì)數(shù)滿就產(chǎn)生溢出else cqi:=cq
41、i+1;cout=0;end if;end if;end if;cq=cqi;end process;end behav;編譯成功后進(jìn)行仿真,仿真結(jié)果如圖5.2.1:圖5.2.1 10進(jìn)制計(jì)數(shù)器仿真圖仿真結(jié)果正確,將底層vhdl產(chǎn)生的10進(jìn)制計(jì)數(shù)器生成為元件圖一邊頂層調(diào)用,如圖5.2.2:圖5.2.2 10進(jìn)制計(jì)數(shù)器頂層圖將生成的10進(jìn)制計(jì)數(shù)器按圖5.2.3連接來(lái)得到1s高電平門(mén)閘信號(hào)。圖5.2.3 1s閘門(mén)產(chǎn)生圖對(duì)生成的電路進(jìn)行仿真,得到仿真波形如圖5.2.4:圖5.2.4 1s閘門(mén)產(chǎn)生仿真圖將其電路生成如圖5.2.5,以便頂層調(diào)用。圖5.2.5 1s閘門(mén)產(chǎn)生頂層圖5.2.2 測(cè)頻控制器 測(cè)
42、頻控制器應(yīng)具有三個(gè)功能: (1)產(chǎn)生 1s 閘門(mén)信號(hào),控制計(jì)數(shù)器的啟停; (2). 產(chǎn)生計(jì)數(shù)清零信號(hào),使計(jì)數(shù)器在下一個(gè)計(jì)數(shù)周期前清零; (3). 產(chǎn)生鎖存信號(hào),將計(jì)數(shù)器的計(jì)數(shù)結(jié)果送入鎖存器和顯示器。測(cè)頻控制器的時(shí)序應(yīng)該如圖5.2.6所示: 計(jì)數(shù)器在使能允許的高電平內(nèi)進(jìn)行計(jì)數(shù),當(dāng)使能信號(hào)置低后,鎖存信號(hào)有效,圖5.2.6測(cè)頻控制器時(shí)序圖計(jì)數(shù)結(jié)果進(jìn)行輸出,計(jì)數(shù)結(jié)果輸出完后鎖存信號(hào)置低,復(fù)位信號(hào)有效,在下一次計(jì)數(shù)之前先復(fù)位清零。現(xiàn)在控制信號(hào)發(fā)生器用74161構(gòu)成4分頻計(jì)數(shù)器,用一個(gè)與非門(mén),一個(gè)或非門(mén)和一個(gè)異或門(mén)實(shí)現(xiàn)3種譯碼狀態(tài),與閘門(mén)模塊按圖5.2.7進(jìn)行連接。圖5.2.7測(cè)頻控制器圖對(duì)其進(jìn)行編譯,
43、編譯成功后進(jìn)行仿真,仿真結(jié)果如圖5.2.8圖5.2.8測(cè)頻控制器仿真圖將測(cè)頻控制器生成元器件圖,供頂層調(diào)用:圖5.2.9測(cè)頻控制器頂層圖5.2.3 6位10進(jìn)制計(jì)數(shù)器 將前面生成的10進(jìn)制計(jì)數(shù)器元件圖按圖5.2.10連接,產(chǎn)生6位10進(jìn)制計(jì)數(shù)器,其計(jì)數(shù)結(jié)果可以從1到999999:圖5.2.10 6位10進(jìn)制計(jì)數(shù)器圖其中,元件bz的程序如下:library ieee;use ieee.std_logic_1164.all;entity bz is -并置元件部分實(shí)體 port(c1:in std_logic_vector(3 downto 0); -第一個(gè)計(jì)數(shù)器的計(jì)數(shù)結(jié)果 c2:in std_l
44、ogic_vector(7 downto 4); -第二個(gè)計(jì)數(shù)器的計(jì)數(shù)結(jié)果 c3:in std_logic_vector(11 downto 8); -第三個(gè)計(jì)數(shù)器的計(jì)數(shù)結(jié)果 c4:in std_logic_vector(15 downto 12); -第四個(gè)計(jì)數(shù)器的計(jì)數(shù)結(jié)果 c5:in std_logic_vector(19 downto 16); -第五個(gè)計(jì)數(shù)器的計(jì)數(shù)結(jié)果 c6:in std_logic_vector(23 downto 20); -第六個(gè)計(jì)數(shù)器的計(jì)數(shù)結(jié)果 d:out std_logic_vector(23 downto 0) -第七個(gè)計(jì)數(shù)器的計(jì)數(shù)結(jié)果 );end enti
45、ty;architecture bhv of bz is begin process(c1,c2,c3,c4,c5,c6) begin d=c6&c5&c4&c3&c2&c1; -把六個(gè)四位數(shù)據(jù)并置成二十四位數(shù)據(jù)end process; end bhv;其作用是把6個(gè)10進(jìn)制計(jì)數(shù)器各自產(chǎn)生的4位數(shù)字,按從低到高的順序并置成24位數(shù)據(jù),以便于fpga發(fā)送給單片機(jī)。因?yàn)閱纹瑱C(jī)的i/o口有限,不能一次性的接收24位數(shù)據(jù),所以并置成的24位數(shù)據(jù)還要進(jìn)行處理。將6位10進(jìn)制計(jì)數(shù)器進(jìn)行編譯,編譯通過(guò)后進(jìn)行仿真,仿真結(jié)果如下:圖5.2.11 6位10進(jìn)制計(jì)數(shù)器仿真圖其仿真波形真確無(wú)誤后生成元件符號(hào)圖如下圖所
46、示:圖5.2.12 6位10進(jìn)制計(jì)數(shù)器頂層圖5.2.4 鎖存器 鎖存器的作用是當(dāng)計(jì)數(shù)的使能信號(hào)無(wú)效后,即計(jì)數(shù)完成后將計(jì)數(shù)的結(jié)果輸出,使輸出結(jié)果可以穩(wěn)定的顯示在液晶上,其中鎖存信號(hào)是由測(cè)頻控制器產(chǎn)生的 。其程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity lock1 isport(clk: in std_logic; cin:in std_logic_vector(23 downto 0); cout: out std_logic_vector(23 downto 0);end
47、 entity lock1 ;architecture one of lock1 issignal a:std_logic_vector(23 downto 0);beginprocess(clk,a)beginif clkevent and clk=1 then -時(shí)鐘信號(hào)的上升沿來(lái)了就把計(jì)數(shù) a=cin; -結(jié)果輸出 end if;end process;cout=a;end one;編譯成功后生產(chǎn)的元件圖如下: 圖5.2.13 鎖存器頂層圖5.2.5 數(shù)據(jù)輸出部分 這部分是整個(gè)測(cè)頻程序的關(guān)鍵部分,也是花時(shí)間最多的一部分,程序如下:library ieee;use ieee.std_log
48、ic_1164.all;entity reg_8 isport(en,rst:in std_logic;din:in std_logic_vector(23 downto 0); -計(jì)數(shù)器記得的二十四位數(shù)據(jù)dout:out std_logic_vector(7 downto 0); -要輸出的八位數(shù)據(jù)end reg_8;architecture behav of reg_8 issignal count: integer range 4 downto 0; -把count定義為五位的全局變量begin process(en,din,count,rst)-variable count: inte
49、ger range 4 downto 0;beginif rst=0then -復(fù)位信號(hào)來(lái)了,先把count清零count=0; elsif count=4 then - count自加到4后要進(jìn)行清零count=0;-以便進(jìn)行下一次狀態(tài)的循環(huán)elsif enevent and en=1 then -使能信號(hào)上升沿來(lái)了 countdoutdoutdoutnull;end case;end process;end behav;這部分程序的作用是將鎖存器傳過(guò)來(lái)的24位數(shù)據(jù)分三批,每批傳8位地傳給單片機(jī),是fpga與單片機(jī)的通信部分。其中使能en和復(fù)位rst是由單片機(jī)產(chǎn)生的,單片機(jī)先令rst=0,使計(jì)數(shù)變量count=0,接著令en=1,產(chǎn)生第一個(gè)使能信號(hào),使count=1,將低八位數(shù)據(jù)傳送給單片機(jī);接著再令en=1,產(chǎn)生第二個(gè)使能信號(hào),使count=2,將中八位數(shù)據(jù)傳送給單片機(jī);最后再令en=1,產(chǎn)生第三個(gè)使能信號(hào),使count=3,將高八位數(shù)據(jù)傳送給單片機(jī)。這樣24位數(shù)據(jù)就完全傳送給單片機(jī)了。單片機(jī)接收數(shù)據(jù)的順序也是
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 公共服務(wù)外協(xié)產(chǎn)品管理辦法
- 汽車(chē)學(xué)業(yè)規(guī)劃教育
- 健身中心翰林府建設(shè)合同
- 社會(huì)福利院聘用合同種類(lèi)及規(guī)范
- 通風(fēng)空調(diào)安裝勞務(wù)合同
- 苗木種植技術(shù)推廣應(yīng)用合同
- 校園道路翻新工程合同模板
- 展會(huì)宣傳資料印刷招投標(biāo)
- 地下交通樞紐打樁機(jī)租賃合同
- 浙江餐飲連鎖租賃協(xié)議
- 本科畢業(yè)論文的寫(xiě)作課件
- 《整式的加減》第1課時(shí)示范課教學(xué)設(shè)計(jì)【數(shù)學(xué)七年級(jí)上冊(cè)北師大】
- 淺議線性代數(shù)中的數(shù)學(xué)文化課件
- 英語(yǔ)四級(jí)選詞填空練習(xí)題和答案
- 2023年?yáng)|部機(jī)場(chǎng)集團(tuán)有限公司校園招聘筆試題庫(kù)及答案解析
- 高考語(yǔ)文 常見(jiàn)的議論文四種寫(xiě)作結(jié)構(gòu) 課件(42張PPT)
- 2022年旋挖樁(全護(hù)筒)施工方案
- 禾川x3系列伺服說(shuō)明書(shū)
- 《月光曲》第二課時(shí)優(yōu)秀課件
- DBJ51T 196-2022 四川省智慧工地建設(shè)技術(shù)標(biāo)準(zhǔn)
- 細(xì)胞生物學(xué)(全套1047張課件)
評(píng)論
0/150
提交評(píng)論