東北大學(xué)秦皇島分校組成課設(shè)報(bào)告_第1頁(yè)
東北大學(xué)秦皇島分校組成課設(shè)報(bào)告_第2頁(yè)
東北大學(xué)秦皇島分校組成課設(shè)報(bào)告_第3頁(yè)
已閱讀5頁(yè),還剩17頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、東北大學(xué)秦皇島分校計(jì)算機(jī)與通信工程學(xué)院計(jì)算機(jī)組成原理課程設(shè)計(jì)專(zhuān)業(yè)名稱(chēng)計(jì)算機(jī)科學(xué)與技術(shù)班級(jí)學(xué)號(hào)2153127學(xué)生姓名黎楠指導(dǎo)教師張冬麗設(shè)計(jì)時(shí)間2017.12.182017.12.29課程設(shè)計(jì)任務(wù)書(shū)專(zhuān)業(yè):計(jì)算機(jī)與通信工程學(xué)院 學(xué)號(hào):2153127學(xué)生姓名 (簽名):設(shè)計(jì)題目:指令系統(tǒng)及微地址修改電路設(shè)計(jì)一、設(shè)計(jì)實(shí)驗(yàn)條件1207 實(shí)驗(yàn)室硬件: PC 機(jī)軟件: Xilinx ISE ModelSim編程語(yǔ)言: VHDL二、設(shè)計(jì)任務(wù)及要求1. 設(shè)計(jì) 5號(hào)指令、 21號(hào)指令、32號(hào)指令 、56號(hào)指令 ;2. 斷點(diǎn)方式下的微地址修改邏輯電路,實(shí)現(xiàn) “取指后,獲取 6、21、32、56 號(hào)指令的微程序入口地址

2、 ”;3. 8 位求補(bǔ)器 。三、設(shè)計(jì)報(bào)告的內(nèi)容1. 設(shè)計(jì)題目與設(shè)計(jì)任務(wù)(設(shè)計(jì)任務(wù)書(shū))【題目:指令系統(tǒng)及微地址修改電路設(shè)計(jì)】1. 5號(hào), 21號(hào), 32 號(hào),56 號(hào)指令設(shè)計(jì);2. 斷點(diǎn)方式下的微地址修改邏輯電路,實(shí)現(xiàn) “取指后,獲取 6、 21、 32、 56 號(hào)指令的微程序入口地址 ”;3. 8 位求補(bǔ)器 。2. 前言(緒論) ( 設(shè)計(jì)的目的、意義等 )融會(huì)貫通計(jì)算機(jī)組成原理課程的內(nèi)容,通過(guò)知識(shí)的綜合運(yùn)用,加深對(duì)計(jì)算機(jī)系統(tǒng)各個(gè)模塊的工作原理及相互聯(lián)系的認(rèn)識(shí);學(xué)習(xí)運(yùn)用 VHDL 進(jìn)行 FPGA/CPLD 設(shè)計(jì)的基本步驟和方法, 熟悉 EDA 的設(shè)計(jì)、模擬調(diào)試工具的使用,體會(huì) FPGA/CPLD

3、技術(shù)相對(duì)于傳統(tǒng)開(kāi)發(fā)技術(shù)的優(yōu)點(diǎn);培養(yǎng)科學(xué)研究的獨(dú)立工作能力,取得工程設(shè)計(jì)與組裝調(diào)試的實(shí)踐經(jīng)驗(yàn)。3. 設(shè)計(jì)主體(各部分設(shè)計(jì)內(nèi)容、分析、結(jié)論等)【模型機(jī)邏輯框圖】整機(jī)邏輯框圖0故遷劃TU4輸入,輸出CPU ;M爭(zhēng)計(jì)1!(牌叱時(shí)鐘I 我念*慎廳描僉悴制Ft圖i整機(jī)邏輯框圖圖2芯片引腳邏輯框圖CPU邏輯框圖RaRRD ;RR ;111 RRD'O'100LIO*III *+ _ i010STEN001RRD R1VR-1中斷向量寄桶ELPPCOLPCOil |掛移| loi I R磊|冠耘諒若器ittttttt操作信號(hào)MARINABUS 地址總藝EM主存儲(chǔ)器tMHF寄存需ALU器1CEX

4、盤(pán)禺加蓋AEX7UIR.EM£> ENRD eMWRVi丄作寄存器ME羞t指令總線X2 XI XO,總線控制IM CODE中新信號(hào)I:圖3 CPU邏輯框圖【微操作控制信號(hào)】1、XRD :外部設(shè)備讀信號(hào),當(dāng)給出了外設(shè)的地址后,輸出此信號(hào),從指定外設(shè)讀數(shù)據(jù)。2、EMWR :程序存儲(chǔ)器 EM寫(xiě)信號(hào)。3、EMRD :程序存儲(chǔ)器 EM讀信號(hào)。4、PCOE :將程序計(jì)數(shù)器 PC的值送到地址總線 ABUS上(MAR)。5、EMEN :將程序存儲(chǔ)器 EM與數(shù)據(jù)總線 DBUS接通,由EMWR和EMRD 決是將DBUS數(shù)據(jù)寫(xiě)到EM中,還是從EM讀出數(shù)據(jù)送到 DBUS。6、 IREN :將程序存儲(chǔ)器

5、EM讀出的數(shù)據(jù)打入指令寄存器 IR。7、EINT :中斷返回時(shí)清除中斷響應(yīng)和中斷請(qǐng)求標(biāo)志,便于下次中斷。8、 ELP : PC打入允許,與指令寄存器 IR3、IR2位結(jié)合,控制程序跳轉(zhuǎn)。9、FSTC:進(jìn)位置 1,CY=110、FCLC :進(jìn)位置 0, CY=011、 MAREN :將地址總線 ABUS上的地址打入地址寄存器 MAR。12、 MAROE :將地址寄存器 MAR的值送到地址總線 ABUS上。13、OUTEN :將數(shù)據(jù)總線 DBUS上數(shù)據(jù)送到輸出端口寄存器 OUT里。14、STEN :將數(shù)據(jù)總線 DBUS 上數(shù)據(jù)存入堆棧寄存器 ST 中。15、RRD :讀寄存器組 R0-R3,寄存器

6、R?的選擇由指令的最低兩位決定。16、RWR:寫(xiě)寄存器組 R0-R3,寄存器R?的選擇由指令的最低兩位決定。17、CN :決定運(yùn)算器是否帶進(jìn)位移位, CN=1 帶進(jìn)位, CN=0 不帶進(jìn)位。18、FEN :將標(biāo)志位存入 ALU內(nèi)部的標(biāo)志寄存器。19、WEN :將數(shù)據(jù)總線 DBUS的值打入工作寄存器 W中。20、AEN :將數(shù)據(jù)總線 DBUS 的值打入累加器 A 中。21-23:X2 X0 :X2、 X1 、 X0 三位組合來(lái)譯碼選擇將數(shù)據(jù)送到 DBUS 上的寄存器。24-26:S2 S0 :S2、 S1 、 S0 三位組合決定 ALU 做何種運(yùn)算。3.1.指令系統(tǒng)設(shè)計(jì):第 5 號(hào)指令:助記符:

7、 ADD A , R?格式:操作碼和地址碼操作碼: ADD地址碼: A, R功能:累加器A “與”寄存器R?的值尋址方式:寄存器直接尋址第 21 號(hào)指令:助記符: AND A, R?格式:操作碼和地址碼操作碼: AND地址碼: A, R功能:累加器A “與”寄存器R?的值尋址方式:寄存器直接尋址第 32 號(hào)指令:助記符: MOV A, #II格式:操作碼和地址碼操作碼: MOV地址碼: A, #II功能:將立即數(shù) II 送到累加器 A 中尋址方式:立即數(shù)尋址第 56 號(hào)指令:助記符: RLC A格式:操作碼和地址碼操作碼:RLC地址碼:A功能:累加器A帶進(jìn)位左移尋址方式:累加器尋址【指令格式】

8、第5號(hào)指令:ADD A,R?指令類(lèi)型:算術(shù)運(yùn)算指令指令格式:000100XX操作碼尋址類(lèi)型第21號(hào)指令:AND A,R?指令類(lèi)型:邏輯運(yùn)算指令指令格式:010100XX操作碼尋址類(lèi)型第32號(hào)指令:MOV A,#11指令類(lèi)型:數(shù)據(jù)傳送指令指令格式:011111 XXJ操作碼女口: 000110008位立即數(shù)第56號(hào)指令:RLC A指令類(lèi)型:移位指令指令格式:110111XX【指令執(zhí)行流程】表1各指令執(zhí)行流程圖編 號(hào)助記符功能機(jī)器碼周期 總數(shù)節(jié)拍數(shù)微操作控制信號(hào)涉及硬 件1FATCH取指令000000010T2PC->MARPCOE, MARENPC, MAR ,EM, W , IRT1EM

9、->WEMEN, EMRD, WENT0W->IRPC+1->PCIREN521ADDA,R?將奇存 器R?的 值加入 累加器A中000100100T4R->WRRDX2X0WENR,DBUS,W,ALU,FT3ALU(A+W)->AS2S1S0=011AEN FENAND A,R?累加器A “與” 寄存器R?的值010100100T4R->WRRDX2X0WENR,DBUS,W, ALUT3ALU(A&W)->AS2S1S0 =011 AEN FEN32MOVA,#ll將立即 數(shù)II送 到累加 器A中011111101T5PC->MAR

10、PCOE, MARENPC, MAREM, W, A,ALUT4EM->DBUS->WEMEN EMRD WENT3W->DBUS->Aaen56RLC A累加器 A帶進(jìn) 位左移110111100T4A->ALU->LX=110FENCN=1R,DBUS,W, A,FCNT3L->DBUS->AAEN【流程圖】PCOE;MARENEMEN;EMRD; WENIRENRRD;X2X0; WENS2S1S0=011;AEN;FENT2(010)T1(001)TO(OOO)T4(100)T3(011)圖4第5號(hào)指令流程圖PC? MACT2(010)T1

11、(001)TO(OOO)T4(100)T3(011)EM? WW? IR PC+1? PCALU(A&W)? APCOE;MARENEMEN;EMRD; WENIRENRRD;X2X0; WENS2S1S0=011;AEN;FEN圖5第21號(hào)指令流程圖Q 開(kāi)始T2(010)T1(001)TO(OOO)T5(101)T4(100)T3(011)T2(010)T1(001)TO(OOO)T4(100)T3(011)PC? MACEM? WrW? IR PC+1? PC”譯碼或1測(cè)試rPC? MACM? DBUS ? WW? DBUS ?APCOE;MARENEMEN;EMRD;WENIRE

12、NPCOE;MARENEMEN;EMRD;WENAEN圖6第32號(hào)指令流程圖PCOE;MARENEMEN;EMRD;WENIRENX=110;FEN;CNAEN圖7第56號(hào)指令流程圖3.2 .模型機(jī)硬件設(shè)計(jì):微程序入口地址”【邏輯電路的圖形符號(hào)表示、功能】表2各指令微程序入口地址編號(hào)指令助記符標(biāo)志位P1P0微程序入口地址1FATCH0016ADD A, R?0010121AND A,R?0101032MOV A,#II1001156RLC A11100【微程序入口地址】圖8微程序入口地址【源代碼】library IEEE;use IEEE.STD_LOGIC_1164.ALL;USE IEEE

13、.STD_LOGIC_UNSIGNED.ALL;en tity ln_zlxt isPort ( addr1 : in STD_LOGIC_VECTOR( 2 DOWNTO 0);P1P0 : in STD_LOGIC_VECTOR( 1 DOWNTO 0); addr2 : out STD_LOGIC_VECTOR( 2 DOWNTO 0);end In _zlxt;architecture Behavioral of ln_zlxt isbeginPROCESS(addr1,P1P0)BEGINIF P1P0( 1 DOWNTO 0) ="00" THENaddr2(

14、2 DOWNTO 0) <= addr1( 2 DOWNTO 0) + '1'ELSEaddr2( 2 DOWNTO 0) <= addr1( 2 DOWNTO 0) + P1P0( 1 DOWNTO 0);END IF;END PROCESS;end Behavioral;【結(jié)果】ln zlxtaddr1(2:0)F、addr2(2:0)P1P0(1:0)kJln_zlxt圖9微程序入口地址【RTL級(jí)邏輯電路】In zutm ZhuibtfP1P0J3SUFitsuf«krij)euFibuF_IBUFackt1_IEXJFsddh2cZ> Sob

15、uf-L4>-t +in_zM圖10 RTL級(jí)邏輯電路【仿真】在sources窗口處右擊,加入新的源文件創(chuàng)建波形仿真激勵(lì)文件.tbw :選Test Bench Waveform,并輸入文件名 YUMIAO初始化時(shí)鐘周期及相關(guān)參數(shù)t finish右側(cè)會(huì)出現(xiàn).tbw文件窗口,設(shè)置輸入引腳的值,存盤(pán)左側(cè) sources窗口選擇 “ behavioral simulation 下面 processes窗口會(huì)自動(dòng)出現(xiàn)"Modelsim Simulator"雙擊其中的“ Simulate behavioral modeI會(huì)自動(dòng)調(diào)用 “ Modelsim進(jìn)行仿真,觀察波形窗口,觀察

16、是否正確第一組測(cè)試:60ISO250350750:011 11111 11131163H03*ti4輸入:code_in=110, 10End Time:1000 nsa gXaddri(zqirh&Ulsddr1|2l1Jladdr1)|113Jladdr1)|0)02110JtiDe SXpipoi:o2H2 (gjipi pomiSLJIpi popi i承如mo m輸出:code out=000曰-Zf2/addrlB(2)kL (o)E- Zf2/p1pOH-血松M2-(2) -m L (o)no11 o101D000000TTO-10000圖12輸出波形第二組測(cè)試: 輸入:

17、code_in=101,10End Time; 1000 nsHl CaddM|2 Q| y.3ddr1 |2J師5 /1JJladdrl |10pladdrl |Dg13 SKFIOti 0|m2 (MPi P01l1JJIP1 FOPIDzoi3T10 C50115D1 1 12501 11 145D1 15501 1萌D1 1T5D1 19501 1 13t*J3'ue圖13輸入波形輸出: code_out=111Eb As/p1 pD-in匚L g1011011 0M1101010111111( I111I圖14輸出波形3.3.數(shù)字電路:題目:8位求補(bǔ)器功能:對(duì)輸入的8位二進(jìn)制

18、進(jìn)行求補(bǔ)計(jì)算【功能描述】 輸入code_in是8位二進(jìn)制原碼,輸出 code_out是8位二進(jìn)制補(bǔ)碼?!驹创a】library IEEE;use IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;en tity In _szdl isPort ( code_in : in STD_LOGIC_VECTOR (7 DOWNTO 0);code_out : out STD_LOGIC_VECTOR (7 DOWNTO 0);end In _szdl;architecture Behavioral of In _szdl isbeginP

19、rocess(code_ in)BEGINcode_out(7) <= code_i n( 7);if code_i n(7)='O' THENcode_out(6 DOWNTO 0) <= code_i n(6 DOWNTO 0);ELSEcode_out(6 DOWNTO 0) <= NOT code_i n(6 DOWNTO 0) + '1'END IF;END PROCESS;end Behavioral;【結(jié)果】Inszdl1code in(7:0)F、code out(7:0) 1kIn_szdl【RTL級(jí)邏輯電路】圖16 RTL級(jí)

20、邏輯電路【仿真】在sources窗口處右擊,加入新的源文件創(chuàng)建波形仿真激勵(lì)文件 .tbw :選Test Bench Waveform,并輸入文件名 YUMIAO初始化時(shí)鐘周期及相關(guān)參數(shù)t fin ish右側(cè)會(huì)出現(xiàn).tbw文件窗口,設(shè)置輸入引腳的值,存盤(pán)左側(cè) sources窗口選擇 “ behavioral simulation 下面 processes窗口會(huì)自動(dòng)出現(xiàn)"Modelsim Simulator"雙擊其中的 “ Simulate behavioral modeI會(huì)自動(dòng)調(diào)用 “ Modelsim進(jìn)行仿真,觀察波形窗口,觀察是否正確第一組測(cè)試:輸入:code_in=10

21、110010End Time:他1502503504-50550650756350Aiuuu nsJ.U|0回 X. codejn|7:0|6T1B23'hDO X 8ti80 X恥口M CQUejn7| 1訓(xùn) codejn6 QJfl code_ln5 1code.lnH 1incttdejnra 0in t&dejn21 00j|todtjnl iJJkm萌il期 0E codei_aul|7:(J| 6*11 DDBYiOO輸出: code out=11001110out101100101Q11001011001110110a1110Hanoi圖18輸出波形第二組測(cè)試: 輸入:code_in=00111010End Time:1QDO na-5«I15C I250 I I350450II55UI遜750Illi肺QJ!I IaE 強(qiáng) COJf>r Q|州3* f針甜 )C 9Y100x怖竝J(肺日A XBhlAJJ|.code_in(7| 0JJ|.code_in|6| 03JI code_rnt5| 1JJI cad&jn(4l| 1jJlcQdejiim 1 理1 code_in(2| 0 3Jlcode_iti|1| 1 lH.C0de_in|O| 0SHOOE 聯(lián) code_ciu

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論