數(shù)字邏輯電路課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告_第1頁
數(shù)字邏輯電路課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告_第2頁
數(shù)字邏輯電路課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告_第3頁
數(shù)字邏輯電路課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告_第4頁
數(shù)字邏輯電路課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告_第5頁
已閱讀5頁,還剩10頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、數(shù)字邏輯電路課程設(shè)計(jì)報(bào)告數(shù)字邏輯電路課程設(shè)計(jì) -多功能數(shù)字時(shí)鐘班級(jí):信息安全1002 姓名:潘祥熙學(xué)號(hào):3100604048指導(dǎo)老師:袁曉云時(shí)間:2011-6-25目錄【封面】- -1-【目錄】- -2-【設(shè)計(jì)目的】- -3-【設(shè)計(jì)要求】- -3-【數(shù)字鐘的組成和基本工作原理】- 34【模塊及模塊功能】- 58【總體設(shè)計(jì)模塊設(shè)計(jì)】- 8【效果分析】 - 9-10【心得體會(huì)】 - 11【參考文獻(xiàn)】 - 12 【設(shè)計(jì)目的】掌握各類計(jì)數(shù)器以及它們相連的設(shè)計(jì)方法;掌握多個(gè)數(shù)碼管顯示的原理與方法;掌握MAX+plus技術(shù)的層次化設(shè)計(jì)方法:掌握使用VHDL語言的設(shè)計(jì)思想;對(duì)整個(gè)系統(tǒng)的設(shè)計(jì)有一個(gè)了解?!驹O(shè)計(jì)

2、要求】(1) 能進(jìn)行正常的時(shí)、分、秒計(jì)時(shí)功能,分別由6個(gè)數(shù)碼管顯示24好、60min、60s。(2) 按下k1鍵時(shí),計(jì)數(shù)器迅速遞增,并24h循環(huán),計(jì)滿23h后再回00.(3) 按下k2鍵時(shí),計(jì)數(shù)器迅速遞增,并60min循環(huán),計(jì)滿59min后再回00,但不向“時(shí)”進(jìn)位。(4) 按下k3鍵,秒鐘自動(dòng)清零;(5) 利用揚(yáng)聲器做整點(diǎn)報(bào)時(shí);當(dāng)計(jì)時(shí)到達(dá)5959”時(shí)開始報(bào)時(shí)在5950”、52”、54”、56”、58”鳴叫,鳴叫聲頻為500Hz;到達(dá)5960”時(shí)為最后一聲整點(diǎn)報(bào)時(shí),頻率為1kHz.【數(shù)字鐘的組成和基本工作原理】數(shù)字鐘實(shí)際上是一個(gè)對(duì)標(biāo)準(zhǔn)頻率進(jìn)行計(jì)數(shù)的計(jì)數(shù)電路。它的計(jì)時(shí)周期是24小時(shí),由于計(jì)數(shù)器的

3、起始時(shí)間不可能與標(biāo)準(zhǔn)時(shí)間(如北京時(shí)間)一致所以采用校準(zhǔn)功能和報(bào)時(shí)功能。數(shù)字鐘電路主要由譯碼顯示器、校準(zhǔn)電路、報(bào)時(shí)電路、時(shí)計(jì)數(shù)、分計(jì)數(shù)、秒計(jì)數(shù)器,振蕩電路和單次脈沖產(chǎn)生電路組成。其中電路系統(tǒng)由秒信號(hào)發(fā)生器、“時(shí)”、“分”、“秒”計(jì)數(shù)器、譯碼器及顯示器、校準(zhǔn)電路、整點(diǎn)報(bào)時(shí)電路組成。秒信號(hào)產(chǎn)生器是整個(gè)系統(tǒng)的時(shí)基信號(hào),它直接決定計(jì)時(shí)系統(tǒng)的精度,一般用石英晶體振蕩器加分頻器來實(shí)現(xiàn),將標(biāo)準(zhǔn)秒信號(hào)送入“秒計(jì)數(shù)器”,“秒計(jì)數(shù)器”采用60進(jìn)制計(jì)數(shù)器,每累計(jì)60秒發(fā)出一個(gè)“分脈沖”信號(hào),該信號(hào)將作為“分計(jì)數(shù)器”的時(shí)鐘脈沖?!胺钟?jì)數(shù)器”也采用60進(jìn)制計(jì)數(shù)器,每累計(jì)60分鐘,發(fā)出一個(gè)時(shí)脈沖信號(hào),該信號(hào)將被送到時(shí)計(jì)數(shù)器

4、。時(shí)計(jì)數(shù)器采用24進(jìn)制計(jì)時(shí)器,可實(shí)現(xiàn)對(duì)一天24小時(shí)的計(jì)時(shí)。譯碼顯示電路將“時(shí)”、“分”、“秒”計(jì)數(shù)器的輸出狀態(tài)通過顯示驅(qū)動(dòng)電路,七段顯示譯碼器譯碼,在經(jīng)過六位LED七段顯示器顯示出來。整點(diǎn)報(bào)時(shí)電路時(shí)根據(jù)計(jì)時(shí)系統(tǒng)的輸出狀態(tài)產(chǎn)生一個(gè)脈沖信號(hào),然后去觸發(fā)一音頻發(fā)生器實(shí)現(xiàn)低、高音報(bào)時(shí)。校準(zhǔn)電路時(shí)用來對(duì)“時(shí)”、“分”、“秒”顯示數(shù)字進(jìn)行校對(duì)調(diào)整的。如圖1所示多功能數(shù)字鐘的組成框圖。譯碼驅(qū)動(dòng)譯碼驅(qū)動(dòng)時(shí)十位計(jì)數(shù)分頻器電路分頻器電路振蕩器電路譯碼驅(qū)動(dòng)譯碼驅(qū)動(dòng)譯碼驅(qū)動(dòng)譯碼驅(qū)動(dòng)動(dòng)時(shí)個(gè)位計(jì)數(shù)分十位計(jì)數(shù)分十位計(jì)數(shù)秒十位計(jì)數(shù)秒十位計(jì)數(shù)校時(shí)電路校分電路多功能數(shù)字時(shí)鐘的總體設(shè)計(jì)框圖如下圖所示時(shí)顯示器 分顯示器 秒顯示器時(shí)十

5、位 時(shí)個(gè)位分十位 分個(gè)位秒十位 秒個(gè)位揚(yáng)聲器譯碼器 譯碼器譯碼器 譯碼器譯碼器 譯碼器時(shí)計(jì)數(shù)器分計(jì)數(shù)器秒計(jì)數(shù)器MUXMUX報(bào)時(shí)電路HADJHAOJSCLR基準(zhǔn)頻率分頻器DASBSC1Hz4Hz64Hz500Hz 校時(shí) 校分 清零 多功能數(shù)字時(shí)鐘的總體設(shè)計(jì)框圖設(shè)計(jì)方案:根據(jù)總體設(shè)計(jì)框圖,可以將整個(gè)系統(tǒng)分為5個(gè)模塊來實(shí)現(xiàn),分別是計(jì)時(shí)模塊、校時(shí)模塊、整點(diǎn)報(bào)時(shí)模塊、分頻模塊、動(dòng)態(tài)顯示模塊.(1)計(jì)時(shí)模塊該模塊的設(shè)計(jì)相對(duì)簡(jiǎn)單,使用一個(gè)二十四進(jìn)制和兩個(gè)六十進(jìn)制計(jì)數(shù)器級(jí)聯(lián),構(gòu)成數(shù)字鐘的基本框架。二十四進(jìn)制計(jì)數(shù)器用于計(jì)時(shí),六十計(jì)時(shí)器用于計(jì)分和計(jì)秒。只要給秒計(jì)數(shù)器一個(gè)1Hz的時(shí)鐘脈沖,則可以正常計(jì)時(shí)。分計(jì)數(shù)器以

6、秒計(jì)數(shù)器的進(jìn)位作為計(jì)數(shù)脈沖,小時(shí)計(jì)數(shù)器以分計(jì)數(shù)器的進(jìn)位作為計(jì)數(shù)脈沖。(2)校時(shí)模塊校時(shí)模塊設(shè)計(jì)要求實(shí)現(xiàn)校時(shí)、校分以及秒清零的功能。 1按下校時(shí)鍵,小時(shí)計(jì)數(shù)器迅速遞增以調(diào)至所需要的小時(shí)位。 2按下校分鍵,分?jǐn)?shù)計(jì)數(shù)器迅速遞增以調(diào)至所需要的分位。 3按下清零鍵,將秒計(jì)數(shù)器清零??梢赃x擇實(shí)驗(yàn)板上的3個(gè)脈沖案件進(jìn)行鎖定。對(duì)于此模塊的設(shè)計(jì),有3個(gè)需要注意的問題:1在校分時(shí),分?jǐn)?shù)計(jì)數(shù)器的計(jì)數(shù)不應(yīng)對(duì)小時(shí)位產(chǎn)生影響,因而需要屏蔽此時(shí)分計(jì)數(shù)器的進(jìn)位信號(hào)以防止小時(shí)計(jì)數(shù)器計(jì)數(shù)。2按鍵抖動(dòng)的消除所謂“抖動(dòng)”是指一次按鍵時(shí)的彈跳現(xiàn)象,通常實(shí)驗(yàn)板中按鍵所用的開關(guān)為機(jī)械彈跳開關(guān),由于機(jī)械點(diǎn)的彈性作用,按鍵開關(guān)在閉合時(shí)并不能馬

7、上接通,而斷開時(shí)也并不能馬上斷開,使得閉合及斷開的瞬間伴隨著一系列的電壓抖動(dòng),從而導(dǎo)致本來一次按鍵,希望計(jì)數(shù)一次,結(jié)果因?yàn)槎秳?dòng)多次計(jì)數(shù)多次,且計(jì)數(shù)隨機(jī),這樣就嚴(yán)重影響了時(shí)間的校對(duì)。3計(jì)數(shù)采用1Hz的脈沖驅(qū)動(dòng)計(jì)數(shù)器計(jì)數(shù),而校對(duì)時(shí)間對(duì)應(yīng)選用相對(duì)高頻率的信號(hào)驅(qū)動(dòng)計(jì)數(shù)器以達(dá)到快速校對(duì)時(shí)間的目的。顯然,這兩種計(jì)數(shù)器脈沖之間需要進(jìn)行相應(yīng)的選擇切換。于是將計(jì)時(shí)和校時(shí)模塊合起來的電路是實(shí)現(xiàn)示意圖所示。兩種脈沖信號(hào)用兩路選擇器進(jìn)行選擇,選擇條件為是否按鍵。按鍵輸出經(jīng)過了消抖處理。二十四進(jìn)制計(jì)數(shù)器六十進(jìn)制計(jì)數(shù)器六十進(jìn)制計(jì)數(shù)器2MUX2MUX消抖校時(shí)消抖校分1Hz高頻計(jì)數(shù)脈沖分頻 多功能數(shù)字鐘的計(jì)時(shí)和校時(shí)模塊(3)

8、整點(diǎn)報(bào)時(shí)模塊該模塊的功能要求是:計(jì)時(shí)到59分50秒時(shí),每?jī)擅胍淮蔚鸵魣?bào)時(shí),整點(diǎn)時(shí)進(jìn)行高音報(bào)時(shí),可以將報(bào)時(shí)信號(hào)接到實(shí)驗(yàn)板上的揚(yáng)聲器輸出。而已不同頻率的脈沖信號(hào)區(qū)分低音和高音報(bào)時(shí)。比如可以用500Hz信號(hào)進(jìn)行低音報(bào)時(shí),1kHz信號(hào)作為高音報(bào)時(shí)信號(hào)。進(jìn)行報(bào)時(shí)的條件是計(jì)數(shù)器計(jì)數(shù)至所需要的時(shí)間點(diǎn),因而需要實(shí)現(xiàn)一個(gè)比較模塊,將分計(jì)數(shù)器和秒計(jì)數(shù)器的輸出連至比較輸入端完成比較過程。(4)分頻模塊在本系統(tǒng)中需要用到多種不同頻率的脈沖信號(hào),上至高音報(bào)時(shí)信號(hào),下至1Hz的計(jì)時(shí)脈沖。所有這些脈沖信號(hào)均可以通過一個(gè)基準(zhǔn)分頻分頻器產(chǎn)生?;鶞?zhǔn)頻率分頻器就是一個(gè)進(jìn)制很大的計(jì)數(shù)器,利用計(jì)數(shù)器的分頻功能,從不同的輸出為得到所需要

9、的脈沖信號(hào)。(5) 動(dòng)態(tài)顯示模塊 時(shí)間的顯示需要用到6個(gè)數(shù)碼管,如果實(shí)驗(yàn)板上可用的靜態(tài)顯示數(shù)碼管有6個(gè)或6個(gè)以上則很容易實(shí)現(xiàn),只需要將小時(shí)高位到秒低位Ginger6組輸出按順序鎖定到6個(gè)數(shù)碼管上即可?!灸K及模塊功能】(1)60進(jìn)制計(jì)數(shù)器(2)24進(jìn)制計(jì)數(shù)器(3)分頻電路(4)報(bào)時(shí)電路library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ALERT is port(f1:in std_logic_vector(3 downto 0); f2:in std_logic_vector(3 do

10、wnto 0); m1:in std_logic_vector(3 downto 0); m2:in std_logic_vector(3 downto 0); sinlk: out std_logic; sin500: out std_logic);end ALERT;architecture arc of ALERT is begin sinlk<='1'when(f1="0000" and f2="0000" and m1="0000" and m2="0000")else'0&

11、#39; sin500<='1'when(f1="0101"and f2="1001"and m1="0101"and (m2="0000"or m2="0010" or m2="0100" or m2="0110" or m2="1000")else'0'end arc;(5)掃描電路library ieee;use ieee.std_logic_1164.all;use ieee.std_log

12、ic_arith.all;use ieee.std_logic_unsigned.all;entity scaner_60 is port(a,b,c,d,e,f:in std_logic_vector(3 downto 0); cp :in std_logic; sel :out std_logic_vector(5 downto 0); display :out std_logic_vector(3 downto 0) );end scaner_60;architecture a of scaner_60 is signal count:std_logic_vector(3 downto

13、0);begin process(cp) begin if(cp'event and cp='1') then if(count="0101") then count<="0000" else count<=count+1; end if; end if; if(count="0000") then display<=a; sel<="100000" elsif(count="0001") then display<=b; sel<=&

14、quot;010000" elsif(count="0010") then display<=c; sel<="001000" elsif(count="0011") then display<=d; sel<="000100" elsif(count="0100") then display<=e; sel<="000010" else display<=f; sel<="000001" end

15、if; end process ;end a;(6)七段譯碼器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity decoder_bcd is port(segin :in std_logic_vector(3 downto 0); segout :out std_logic_vector(6 downto 0) );end decoder_bcd;architecture arc of decoder_bcd isbegin s

16、egout<="1111110" when segin=0 else "0110000" when segin=1 else "1101101" when segin=2 else "1111001" when segin=3 else "0110011" when segin=4 else "1011011" when segin=5 else "0011111" when segin=6 else "1110000" when

17、 segin=7 else "1111111" when segin=8 else "1110011" when segin=9 ;end arc; 【總體設(shè)計(jì)模塊設(shè)計(jì)】【效果分析】:根據(jù)上述設(shè)計(jì)方案設(shè)計(jì)思想進(jìn)行設(shè)計(jì),完成設(shè)計(jì)后進(jìn)行編譯下載實(shí)現(xiàn)功能,下載后,時(shí)間從00:00:00開始計(jì)時(shí),每次秒加一,到達(dá)59后回復(fù)到00,并產(chǎn)生進(jìn)位,時(shí)分功能相似,按下校時(shí)鍵提供較高頻率的脈沖信號(hào),時(shí)計(jì)數(shù)器遞增,可以選擇需要校時(shí)的時(shí)間,按下校分鍵,分計(jì)數(shù)器遞增,可以選擇需要校分的時(shí)間,按下清零鍵,秒計(jì)數(shù)器被清零,當(dāng)?shù)竭_(dá)59分50秒時(shí),開始報(bào)時(shí),每隔2秒報(bào)時(shí)一次,整點(diǎn)時(shí)報(bào)時(shí)?!拘牡皿w會(huì)】:通過本次數(shù)字邏輯電路的設(shè)計(jì),我學(xué)到了很多東西,最重要的是去做好一個(gè)東西的心態(tài),也許在你拿到題目時(shí)會(huì)覺得困難,但是只要你充滿信心,一步一個(gè)腳印去實(shí)現(xiàn)它,你就肯定會(huì)完成的。設(shè)計(jì)時(shí)最麻煩的就是聯(lián)線了,有時(shí)候畫的線和其它線重要合時(shí)會(huì)看不到,有時(shí)又會(huì)明明聯(lián)的是這個(gè)端點(diǎn),一移動(dòng)時(shí)卻聯(lián)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論