下載本文檔
版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、僅供個(gè)人參考不得用于商業(yè)用途圖形和 VHDL 混合輸入的電路設(shè)計(jì)一、實(shí)驗(yàn)?zāi)康?、 學(xué)習(xí)在 QUARTUSII 軟件中模塊符號(hào)文件的生成與調(diào)用。2、 掌握模塊符號(hào)與模塊符號(hào)之間的連線(xiàn)規(guī)則與方法。3、 掌握從設(shè)計(jì)文件到模塊符號(hào)的創(chuàng)建過(guò)程。For pers onal use only in study and research; not for commercial use二、 實(shí)驗(yàn)原理在層次化的設(shè)計(jì)文件中,經(jīng)常需要將已經(jīng)設(shè)計(jì)好的工程文件生成一個(gè)模塊符 號(hào)文件作為自己的功能模塊符號(hào)在頂層調(diào)用,該符號(hào)就像圖形設(shè)計(jì)文件中的任何其它宏功能符號(hào)一樣可被高層設(shè)計(jì)重復(fù)調(diào)用。本實(shí)驗(yàn)的實(shí)驗(yàn)原理就是將前面設(shè)計(jì)的實(shí)驗(yàn)三
2、、 四、五通過(guò) QUARTUSII 軟件合并成一個(gè)設(shè)計(jì)文件。實(shí)現(xiàn)實(shí)驗(yàn)三、 四、五中的所有功能。三、 實(shí)驗(yàn)內(nèi)容本實(shí)驗(yàn)要求完成的任務(wù)與實(shí)驗(yàn)三、四、五的實(shí)驗(yàn)內(nèi)容基本一致。在實(shí)驗(yàn)中, 時(shí)鐘信號(hào)選取 10KHZ 做為數(shù)碼管的掃描時(shí)鐘,撥動(dòng)開(kāi)關(guān)輸入一個(gè)預(yù)置的十二位 數(shù)據(jù),經(jīng)過(guò)數(shù)控分頻電路(實(shí)驗(yàn)五)分頻后得到一個(gè)較低的頻率做為加法計(jì)數(shù)器(實(shí)驗(yàn)三)的時(shí)鐘頻率進(jìn)行計(jì)數(shù)器的加法運(yùn)算。得到的值給數(shù)碼顯示譯碼電路(實(shí) 驗(yàn)四)在數(shù)碼管上顯示出來(lái)。實(shí)驗(yàn)箱中的數(shù)字時(shí)鐘模塊、撥動(dòng)開(kāi)關(guān)、按鍵開(kāi)關(guān)、 數(shù)碼管、LED 與 FPGA 的接口電路,以及撥動(dòng)開(kāi)關(guān)、按鍵開(kāi)關(guān)、數(shù)碼管、LED 與 FPGA 的管腳連接在實(shí)驗(yàn)三、四、五中都做了
3、詳細(xì)說(shuō)明,這里不在贅述。四、 實(shí)驗(yàn)步驟1、 打開(kāi) QUARTUSII 軟件,新建一個(gè)工程。2、 將以前編寫(xiě)的實(shí)驗(yàn)三、四、五的源程序代碼復(fù)制到當(dāng)前工作目錄下保存起來(lái)。3、 選擇 FileOpen 命令,如圖 6-1 所示,打開(kāi)復(fù)制到當(dāng)前工作目錄下和其中 源程序代碼:四分頻:library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entityfpin4 is port(clk: in std_logic;fp4 : out std_logic); end;architecture a of fpin4
4、issignal zq: std_logic_vector(1 downto 0); begin僅供個(gè)人參考不得用于商業(yè)用途process(clk) beginif clkevent and clk=1 then zq=zq+1;if zq=11 then fp4=1; else fp4=0; end if;end if;end process;end; 八分頻: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity fpin8 is port(clk: in std_logic;fp
5、8 : out std_logic); end;architecture a of fpin8 issignal zq: std_logic_vector(2 downto 0); beginprocess(clk) beginif clkevent and clk=1 then zq=zq+1;if zq=111 then fp8=1;else fp8=0;end if;end if;end process;end; 數(shù)碼管顯示: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity
6、 mux41 is port(q0,q1,q2,q3: in std_logic;s:in std_logic_vector (1 downto 0); y : out std_logic);end; architecture a of mux41 isbegin process (q0,q1,q2,q3,s) beginif s=00 then y=q3;elsif s=01 then y=q2;elsif s=10 then y=q1; else y=q0;end if;end process;end; 點(diǎn)亮數(shù)碼管的八個(gè)二極管。 library ieee; use ieee.std_log
7、ic_1164.all; useieee.std_logic_unsigned.all; entity cnt8 is port(clk: in std_logic;q : out std_logic_vector(7 downto 0); s:out std_logic);end ; architecture a of cnt8 issignal zq: std_logic_vector(2 downto 0); beginprocess(clk)beginif clkevent and clk=1 thenif zq=111 then zq=000;s=1; else zq=zq+1;s
8、q q q q q q q qnull;end case;end if;end process;end;控制位選信號(hào):library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt4 isport(clk: in std_logic;q : out std_logic_vector(1 downto 0);end ;architecture a of cnt4 issignal zq : std_logic_vector(1 downto 0);beginprocess(clk)begini
9、f clkevent and clk=1 thenif zq=11 then zq=00;else zq=zq+1;end if;end if;end process;q=zq;end;4、設(shè)計(jì)圖形文件。僅供個(gè)人參考不得用于商業(yè)用途-阿町卩ZSi|iTo|FWJ15F1NJ11HNJ8F1NJ15FIN邛FWF11FINV6HNJ13F1NF1JFIN站F1NJ;ANASF1N_G8PiTYninirFIN-弔pi?mTjr HjrFINE9rinj.;二m -FIN53elk1出那1唄|daip0(5)引腳分配4 Crclaru IT: EF235F4a4CB國(guó)-”務(wù)EDA31M腳dII 3
10、砂他CMJPS=丁KHsmed: |Q耳iiT e:a-dw色FiE:評(píng)|閔加1_1毗:|Nodule| Trortss % Full LonpiL&tioiiDEO %Analysis & Sjmjihess3IDO ftFiner1(X1 %Ataenblerioo %Ti“佃電iW筑EDA HbUist WriterICO %Nwwed- 時(shí)1 XlV |F1M F0Fhi:Fiis:dljJrjoda roameDlrKtlonLcKabonUOter*.1elkInpdtP1NJ.Z_BZJN2oodtCkZpdtPN_E93BJNL3dallIfipdtPIN_A1
11、84B4JNI斗daaIOP3N_H7|3B3JN耳diSa9Ihpd!PJN_A174B4JN爲(wèi)呂4:占BIftputPJN_Ft+4出JN7dota7InpiJ;PJN_F134B4JNd知囿InpqjtPN_A164B4JH卒d5r-p *PJN_Ftl3B3JHI10ddti4IhpdtPJN_A15斗申UN11d如npljtHhLSlSHjH12da2InpiPN F9匸B3JH13datal4開(kāi)JM14d知0Lp.tP1MJ154申LNi15陰罔OutputPlNjq2豔 林16陰JJoutputP1N.G3BZ.M17d0QdtputP1N G5zBZ_Nl16ledag6dtp
12、 LitP3NJ4廠(chǎng)EZJN19ledag5QdtputMNJifiZB?JN20ledag4OutpiZPJN_H5kBZJNF21led旳3OutpdLPJN_mzBZjNF22曲劉2OutputPJN_H3zBZJN23cxApUrPJN_G7B3JN24led的0o.巾|上PJN_KJHT25retiFtpPN F8|Errt26new node1 門(mén)飛速土?xí)僫S土!(6)功能仿真僅供個(gè)人參考不得用于商業(yè)用途五、實(shí)驗(yàn)現(xiàn)象與結(jié)果以設(shè)計(jì)的參考示例為例,當(dāng)設(shè)計(jì)文件加載到目標(biāo)器件后,將數(shù)字信號(hào)源模塊 的時(shí)鐘選擇為 10KHZ,撥動(dòng)八位撥動(dòng)開(kāi)關(guān),使其為一個(gè)數(shù)值,則八位數(shù)碼管按一 定的速率開(kāi)始顯示
13、“ 0-F ” ,當(dāng)數(shù)碼管顯示 A-F 時(shí) LED 燈 LED1 開(kāi)始被點(diǎn)亮, 顯示其它數(shù)值時(shí)熄滅。按 S1 鍵顯示的數(shù)值又從 六、實(shí)驗(yàn)小結(jié)通過(guò)本次試驗(yàn),學(xué)習(xí)在 QUARTUSII 軟件中模塊符號(hào)文件的生成與調(diào)用,掌 握模塊符號(hào)與模塊符號(hào)之間的連線(xiàn)規(guī)則與方法,掌握從設(shè)計(jì)文件到模塊符號(hào)的創(chuàng) 建過(guò)程。雖然試驗(yàn)中遇到了一些小困難,最終都順利解決,按時(shí)完成實(shí)驗(yàn)僅供個(gè)人用于學(xué)習(xí)、研究;不得用于商業(yè)用途For personal use only in study and research; not for commercial use.Nur fur den pers?nlichen fur Studie
14、n, Forschung, zu kommerzei Zwecken verwendet werden. 5fri4alorTofll|卿3.vhdS EDAS.bdlt AaikOL胡曰kw1*5昭號(hào)r d*.LADB)1*7-dit-ltB)r 4a.t5JHLO訪(fǎng)丨“血C3J-data. )UtuCil-dst1Mier Tme BrEM注 I| 遠(yuǎn)WflVEEnrmlYW4氾r籃Jri_r_L_r_L_r_L_r_L_r-L_r-L_r-L_r_L_r-L_r_L_r-L_rnrM儷(JIBST: JWT頑師旳頑預(yù)HYTT7 - ili炯師YTTT師YJG環(huán)拠血冋耐T母JT痂頤站rLnLi M i i - - i i -i ; i ii i i ;r-LJ-Lr1rL1 ii iiLJL_T_OL_r_o _i i_r i_r i_r:f(imrT、】T m Y対n xt!jy c,Y hi Y tol * di Y崗Y 1 t4 Y(?Y & XrlIrtarvaftStart:19.375 r#363.2 ns349.83 ns27D.0n$120,p匹?4o a in?6D P 71
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 二零二五年度杭州房產(chǎn)買(mǎi)賣(mài)合同書(shū)范本3篇
- 2024版大學(xué)生實(shí)習(xí)期間實(shí)習(xí)單位實(shí)習(xí)評(píng)價(jià)與考核合同3篇
- 2024版?zhèn)€人對(duì)公司短期貸款合同
- 2024年資金保障協(xié)議協(xié)議范本版B版
- 2024版抵押擔(dān)保借款合同范文
- 2024石材行業(yè)職業(yè)技能競(jìng)賽組織與贊助合同3篇
- 2025年度民爆物品應(yīng)急救援演練組織服務(wù)合同3篇
- 2025年度生產(chǎn)線(xiàn)承包與市場(chǎng)拓展合同3篇
- 二零二五年度新能源汽車(chē)抵押融資合同示范文本2篇
- 2024年砂石供應(yīng)合作模板合同詳解論壇版
- 2025年湖南出版中南傳媒招聘筆試參考題庫(kù)含答案解析
- 2025年度商用廚房油煙機(jī)安裝與維護(hù)服務(wù)合同范本3篇
- 2024年03月恒豐銀行2024年春季招考畢業(yè)生筆試歷年參考題庫(kù)附帶答案詳解
- 網(wǎng)絡(luò)安全系統(tǒng)運(yùn)維方案
- ISO 56001-2024《創(chuàng)新管理體系-要求》專(zhuān)業(yè)解讀與應(yīng)用實(shí)踐指導(dǎo)材料之14:“6策劃-6.3變更的策劃”(雷澤佳編制-2025B0)
- 2024年特厚板行業(yè)現(xiàn)狀分析:中國(guó)特厚板市場(chǎng)占總銷(xiāo)售量45.01%
- 2025年中國(guó)地質(zhì)調(diào)查局烏魯木齊自然資源綜合調(diào)查中心招聘19人歷年管理單位筆試遴選500模擬題附帶答案詳解
- 中國(guó)兒童重癥監(jiān)護(hù)病房鎮(zhèn)痛和鎮(zhèn)靜治療專(zhuān)家共識(shí)2024解讀
- 音樂(lè)老師年度總結(jié)5篇
- 2024版商標(biāo)許可使用合同與商標(biāo)授權(quán)協(xié)議3篇
- 學(xué)生學(xué)情分析報(bào)告范文
評(píng)論
0/150
提交評(píng)論