版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
1、電子技術課程設計任務書1.課程設計的內容和要求(包括原始數據、技術要求、工作要求等) :出租車自動計費器設計(1)設計一個出租車自動計費器,具有行車里程計費,等候時間計費及起價 等三局部.用四位數碼管顯示總金額,最大值為99.99元.(2)行車里程單價(0.#阮/公里)、等候時間單價(0.#元/10分鐘)、起價(#.#元)均能通過數碼BCD管撥盤輸入.(3)在車輛啟動與停止時發(fā)出音響信號,以提醒顧客注意.(4)采用外部直流電源供電.(5) *聯(lián)接微型打印機打印收據接口電路.電子技術課程設計任務書2 .對課程設計成果的要求包括圖表、實物等硬件要求:I m一,m一, 1: *T 一!,!F , 1
2、: 一: mH 1!,! m I設計電路,安裝調試或仿真,分析實驗結果,并寫出設計說明書,語言流暢簡潔,文字不得少于3500字.要求圖紙布局合理,符合工程要求,使用 Protel軟件繪出原理圖SCH和印制電路板PCB,器件選擇要有計算依據.3 .主要參考文獻:1謝自美.電子線路設計、實驗、測試M華中理工大學,20012彭介華.電子技術課程設計指導M.北京:高等教育出版社,19973畢滿清.電子技術實驗與課程設計M.北京:機械工業(yè)出版社,19954陳明義.電工電子技術課程設計指導 M.長沙:中南大學出版社,20025陳永甫.新編555集成電路應用800例M.北京:電子工業(yè)出版社20004 .課程
3、設計工作進度方案:序號起止日期工作內容12021-1-3卜一布置任務,教師講解設計方法及要求22021-1-4學生查找閱讀資料,并確定方案32021-1-5j學生設計小組會議,討論方案42021-1-611設計、仿真實驗52021-1-1213寫說明書,小組討論62021-1-14辯論llj指導教師 蘇澤光日期:2021年12 月 日引言 11系統(tǒng)設計 21.1 設計內容21.2 設計目的21.3 3設計要求21.4 設計思路21.5 設計框圖21.6 設計所需的元件32 .工作原理 32.1 總體設想 32.2 AT89S51單片機及其引腳說明 32.3 AT24C02引腳圖及其引腳功能、掉
4、電存儲單元設計 52.4 里程計算、計價單元的設計 62.5 按鍵單元的設計 82.6 語音功能的設計 83 .系統(tǒng)主程序設計 83.1 定時中斷效勞程序設計 93.2 里程計數中斷效勞程序設計 93.3 中途等待中斷效勞程序設計 93.4 顯示子程序效勞程序設計 93.5 鍵盤效勞程序設計 94 .系統(tǒng)測試 114.1 測試使用的儀器或軟件 114.2 出租汽車里程計價表VHDLS序 114.2.1 模塊設計 114.2.2 VHDL程序設計 114.3 出租汽車里程計價表模塊原理圖符號 144.4 程序仿真圖 15結論 17參考文獻 18心得體會 19附錄1主要元器件清單 20附錄2電路原
5、理圖及印制板圖 21摘要本設計闡述了以多數地區(qū)的出租車計價器為例,采用VHDL硬件描述語言編寫主程序并利用ED儆術仿真設計的出租車計費器,使其具有計量功能、漏電保護功能、語音 功能等.本設計是以單片機 AT89C51為核心,以8279、7407, 75451等智能芯片為外設 的出租車計價器的微機系統(tǒng)設計.給出了硬件電路圖及主程序流程圖,闡述了軟硬件設計過程中關鍵技術的處理.關鍵詞:出租車計價器,單片機 AT89S51 VHDL EDAThis design expatiated in most areas of the taxi devices as an example, the autho
6、rs VHDLVHDLwrite main-level programs and using EDAtechnology simulation design taxi piece, make its have metering, leakage protection function, the speech function etc. This design based on single-chip microcomputer AT89C51 as the core, with 75451 etc, 8279, 7407 intelligent chips for peripheral tax
7、i meter of microcomputer system design. It also presents the hardware circuit diagram and main program flowchart, elaborated the hardware and software design process of key technologies of the processing.Keywords: taxi meter, microcontroller, VHDL, EDA AT89S51引言但凡坐過出租車的人都知道,只要出租車一開動,隨著行駛里程的增加,都會看到 出
8、租車前面的計價器里程數字顯示的讀數從零開始逐漸增大,而當行駛到某一數值如 3km時計費數字顯示開始從起步價如 8元增加.到達目的地時,便可以按計價器 顯示的數字收費.隨著生活水平的提升,人們已不再滿足于衣食住的享受,出行的舒適已受到越來越 多人的關注.于是,出租車行業(yè)以低價高質的效勞給人們帶來了出行的享受.但是總存 在著買賣糾紛困擾著行業(yè)的開展.而在出租車行業(yè)中解決這一矛盾的最好方法就是改進 計價器.用更加精良的計價器來為乘客提供更加方便快捷的效勞.汽車計價器是乘客與司機雙方的交易準那么,它是出租車行業(yè)開展的重要標志,是出 租車中最重要的工具.它關系著交易雙方的利益.具有良好性能的計價器無論是
9、對廣闊 出租車司機朋友還是乘客來說都是很必要的.因此,汽車計價器的研究也是十分有一個 應用價值的.電路和數字電路設計的計價器整體電路的規(guī)模較大, 用到的器件多,造成故障率高, 難調試,對于模式的切換需要用到機械開關,機械開關時間久了會造成接觸不良,功能 不易實現(xiàn).為此我們采用了單片機進行設計,相對來說功能強大,用較少的硬件和適當 的軟件相互配合可以很容易的實現(xiàn)設計要求,且靈活性強,可以通過軟件編程來完成更 多的附加功能.針對計費模式的切換,通過軟件編程就可以輕易而舉的實現(xiàn).防止了機 械開關帶來的不穩(wěn)定因素.現(xiàn)在各大中城市出租車行業(yè)都已普及自動計價器,所以計價器技術的開展已成定 局.而局部小城市
10、尚未普及,但隨著城市建設日益加快,象征著城市面貌的出租車行業(yè) 也將加速開展,計價器的普及也是毫無疑問的,所以未來汽車計價器的市場還是十分有 潛力的.1系統(tǒng)設計1.1 設計內容(1)設計秒信號、0.1分信號脈沖產生器.(2)選用十進制系數乘法器.(3)設計四級BCM計數、譯碼和顯示器.(4)選用產生行駛里程信號的干簧繼電器作為脈沖產生電路.1.2 設計目的(1)掌握出租汽車里程計價表的設計、組裝與調試方法.(2)掌握同步十進制系數乘法計數芯片 74167的工作原理(3)進一步加深對計數、存放器、譯碼及顯示電路的理解.1.3 設計要求(1)根據任務,設計整機邏輯電路,畫出詳細框圖和總原理圖.(2)
11、選用中小規(guī)模集成器件,實現(xiàn)所選定的電路.提出器材清單.(3)在邏輯箱上接插電路,并進行單元電路調試和整機調試.(4)檢查設計結果.1.4 設計思路本次設計以51單片機作為限制器,用霍爾傳感器集成芯片44E檢測并輸出脈沖,送到單片機, 由單片機完成計程數及車費的換算及顯示.輸出采用7段數碼顯示管.通過人工按鍵的方式進行情況的切換.1.5 設計框圖圖1-1程序設計框圖1.6 設計所需的元件AT89S51單片機一個,喇叭一個,七段顯示器4個,M24C02DW6片一個,RESPACK3 原件四個,74HC164E片四個,行程開關SW-P的干個,con2, 3, 4插針各一個,電源 假設干,接地假設干,
12、電阻假設干,無極性電容假設干個.2 .工作原理2.1 1總體設想它由以下幾個部件組成:單片機AT89S51總金額及單價顯示部件、鍵盤限制部件, AT24C02掉電存儲限制、里程計算單元、用中顯示驅動電路等.利用單片機豐富的IO端口,及其限制的靈活性,實現(xiàn)根本的里程計價功能和價風格 節(jié)、時鐘顯示功能.不但能實現(xiàn)所要求的功能而且能在很大的程度上擴展功能,而且還 可以方便的對系統(tǒng)進行升級.具體電路參見“總體電路圖.圖2-1單片機限制方案2.2 AT89S51單片機及其引腳說明AT89S51是一個低功耗,高性能CMOS位單片機,片內含4k Bytes ISP (In-system programmab
13、le)的可反復擦寫100畋的Flash只讀程序存儲器,器件采用 ATMEL司的 高密度、非易失性存儲技術制造,兼容標準 MCS-5指令系統(tǒng)及80C5你腳結構,芯片內 集成了通用8位中央處理器和ISP Flash存儲單元,具有很高性價比.AT89S51是一個有40個引腳的芯片,引腳配置如下列圖所示.VI1PLflT;Pl 1 T2EXPllPl JPL4Pl_5PLf Pl. 7ALPSXSKxD P3.1 TiDP3.l P3J而P34TOP3 iTlP3 6甌P3.KD封皿XULlGNDVCC PO 0 4D0 P0 1ADI 的LW PO3.AD3 M4,AD4 M5AD5 PT ADf
14、PO 7 AD,EAWPRST pmPio AS PIO A5P15A10 K0A1I P2 Q A12 P2 0 A13 PZ0.A14 Pl .A15401桀3期453ff;5丁533-支03110if1119921n14in15w15加1715261927IS 11g圖2-2 AT89S5制腳配置AT89S51芯片的40個引腳功能為:VCC 電源電壓.GND 接地.RST 復位輸入.當RS儂為高電平并保持2個機器周期時,將使單片機復位. WD琉出將使該引腳輸出高電平,設置 SFRAUXR勺DISRTO:地址8EH可翻開或關 閉該功能.DISKRT3缺省為RESET俞出高電平翻開狀態(tài).XT
15、AL1反向振蕩放大器的輸入及內部時鐘工作電路的輸入.XTAL2來自反向振蕩放大器的輸出.P0 口 一組8位漏極開路型雙向I/O 口.也即地址/數據總線復用口.作為輸出口 用時,每位能驅動8個TTL邏輯門電路,對端口寫“ 1可作為高阻抗輸入端用.在訪 問外部數據存儲器或程序存儲器時, 這組口線分時轉換地址低8位和數據總線復用, 在訪問期間激活內部上拉電阻.在Flash編程時,P0 口接收指令字節(jié),而在程序校驗時, 輸出指令字節(jié),校驗時,要求外接上拉電阻.P1 口一個帶內部上拉電阻的8位雙向I/O 口,P1的輸出緩沖級可驅動吸收或輸出電流4個TTL邏輯門電路.對端口寫“ 1,通過內部的上拉電阻把端
16、口拉到高電 平,此時可作輸入口.作輸入口使用時,由于內部存在上拉電阻,某個引腳被外部信號 拉低時會輸出一個電流IIL .Flash編程和程序校驗期間,P1接收低8位地址.P1 口局部端口引腳及功能如表1所示.表1 P1 口特殊功能pi 口引腳特殊功能P1.5MOSI用于ISP編程P1.6MOSI用于ISP編程P1.7SCK用于ISP編程P2 口一個帶內部上拉電阻的8位雙向I/O 口.P1的輸出緩沖級可驅動吸收或輸出電流4個TTL邏輯門電路.對端口寫“ 1,通過內部的上拉電阻把端口拉到高 電平,此時可作輸入口.作輸入口使用時,由于內部存在上拉電阻,某個引腳被外部信 號拉低時會輸出一個電流IIL
17、0在訪問外部程序存儲器或16位地址的外部數據存儲 器時,P2 口送出高8位地址數據.在訪問8位地址的外部數據存儲器時,P2 口線上的 內容在整個訪問期間不改變.Flash編程和程序校驗期間,P2亦接收低8位地址.P3 口一個帶內部上拉電阻的8位雙向I/O 口.P3的輸出緩沖級可驅動吸收或輸出電流4個TTL邏輯門電路.對P3口寫“1時,它們被內部的上拉電阻把拉到 高電并可作輸入端口.作輸入端口使用時,被外部拉低的P3 口將用上拉電阻輸出電流IIL .P3 口除了作為一般的I/O 口線外,更重要的用途是它的第二功能,如表2所示. P3 口還接收一些用于Flash閃速存儲器編程和程序校驗期間的限制信
18、號.表2 P3 口特殊功能P3口引腳特殊功能P3.0RXD串行輸入口P3.1TXD 用行輸出口P3.2外部中斷0P3.3麗i 外部中斷1P3.4T0 定時器0外部輸入P3.5T1 定時器1外部輸入P3.61麗1 外部數據存儲器寫選通P3.7鉛外部數據存儲器讀選通PSEN程序儲存允許輸出是外部程序存儲器的讀先通信號,當AT89S51由外部程 序存儲器取指令或數據時,每個機器周期兩次PSEN有效,即輸出兩個脈沖.當訪問外部數據存儲器,沒有兩次有效的 PSEN信號.EA/VPP外部訪問允許.欲使CPUR訪問外部程序存儲器,EA端必須保持低電平, 需注意的是:如果加密位LB1被編程,復位時內部會鎖存E
19、A端狀態(tài).Flash存儲器編程 時,該引腳加上+12V的編程電壓VPP2.3 AT24C02引腳圖及其引腳功能AT24C02片弓|腳配置如下列圖所示:圖2-3 AT24C02弓|腳配置圖AT24C025片DIP封裝,共有8個引腳,下表是其引腳功能表:引腳名功能A0A2地址引腳SDA/ SCL連續(xù)數據信號/連續(xù)時鐘 信號WP寫保護引腳VCC/GND電源端/接地端W接VSS寸,禁止寫入高位地址, W接VD時,允許寫入任何地址;2.3.1 AT24C02 掉電存儲單元的設計掉電存儲單元的作用是在電源斷開的時候,存儲當前設定的單價信息.AT24C02是ATMEL公司的2KB?節(jié)的電可擦除存儲芯片,采用
20、兩線串行的總線和單片機通訊, 電壓最低可以到2.5V,額定電流為1mA靜態(tài)電流10Ua 5.5V,芯片內的資料可以 在斷電的情況下保存40年以上,而且采用8腳的DIP封裝,使用方便.其電路如下列圖:圖中R& R配上拉電阻,其作用是減少M24C0妁靜態(tài)功耗,由于M24C02數據線 和地址線是復用的,采用用口的方式傳送數據,所以只用兩根線 SCL 移位脈沖和 SDA數據/地址與單片機傳送數據.每當設定一次單價,系統(tǒng)就自動調用存儲程序,將單價信息保存在芯片內;當系 統(tǒng)重新上電的時候,自動調用讀存儲器程序,將存儲器內的單價等信息,讀到緩存 單元中,供主程序使用.2.4 里程計算、計價單元的設計里程計算
21、是通過安裝在車輪上的霍爾傳感器 A44E僉測到的信號,送到單片機,經處 理計算,送給顯示單元的.其原理如下:89S51 單片圖2-5傳感器測距示意圖由于A44E屬于開關型的霍爾器件,其工作電壓范圍比擬寬4.518V,其輸出的 信號符合TTL電平標準,可以直接接到單片機的IO端口上,而且其最高檢測頻率可達 到 1MHz(b)圖2-6集成開關型霍耳傳感器原理圖A44辣成霍耳開關由穩(wěn)壓器A霍耳電勢發(fā)生器即硅霍耳片B、差分放大器C、施 密特觸發(fā)器DffiOCI輸出E五個根本局部組成,如上圖所示.1、2、3代表集成 霍耳開關的三個引出端點.在輸入端輸入電壓VCC經穩(wěn)壓器穩(wěn)壓后加在霍耳電勢發(fā)生器的兩端,根
22、據霍耳效 應原理,當霍耳片處在磁場中時,在垂直于磁場的方向通以電流,那么與這二者相垂直的 方向上將會產生霍耳電勢差V輸出,該Vh信號經放大器放大后送至施密特觸發(fā)器整形, 使其成為方波輸送到OC門輸出.當施加的磁場到達工作點即Bo時,觸發(fā)器輸出 高電壓相對于地電位,使三極管導通,此時OC1輸出端輸出低電壓,通常稱這種狀 態(tài)為開.當施加的磁場到達釋放點即Bp時,觸發(fā)器輸出低電壓,三極管截止, 使OC1輸出高電壓,這種狀態(tài)為關.這樣兩次電壓變換,使霍耳開關完成了一次開 關動作.(a)其集成霍耳開關外形及接線如下列圖:J f+12VA44E b圖2-7集成霍耳開關外形及接線我們選擇了 P3.2 口作為
23、信號的輸入端,內部采用外部中斷0 這樣可以減少程序設 計的麻煩,車輪每轉一圈我們設車輪的周長是1米,霍爾開關就檢測并輸出信號, 引起單片機的中斷,對脈計數,當計數到達 1000次時,也就是1公里,單片機就限制將金額自動的加增加,其計算公式:當前單價X公里數 =金額.2.5 按鍵單元的設計電路共采用了四個按鍵,S1、S2、S3、S4,其功能分別是:S1分屏顯示切換按鍵, S2功能設定按鍵,S3 十/白天晚上切換按鍵,S4/中途等待開關.2.6 語音功能的設計語音功能主要用于語音提示:為降低計價器本錢,節(jié)省單片機I/O資源,語音功能的實現(xiàn)沒有采用專用語音芯片,而是由4個權電阻構成.如下列圖所示:圖
24、2-8語音功能電路語音信號經A/D采集處理后壓縮成4位,存儲在程序存儲器中,由軟件使用.程序 存儲器中可存儲70K勺語音數據,共60個漢語詞匯.3 .系統(tǒng)的軟件設計本系統(tǒng)的軟件設計主要可分為主程序模塊、定時計數中斷程序、里程計數中斷效勞 程序、中途等待中斷效勞程序、顯示子程序效勞程序、鍵盤效勞程序六大模塊.下面對 各局部模塊作介紹.3.1 系統(tǒng)主程序設計在主程序模塊中,需要完成對各接口芯片的初始化、出租車起價和單價的初始化、 中斷向量的設計以及開中斷、循環(huán)等待等工作.另外,在主程序模塊中還需要設置啟動 /去除標志存放器、里程存放器和價格存放器,并對它們進行初始化.然后,主程序將 根據各標志存放
25、器的內容,分別完成啟動、去除、計程和計價等不同的操作.其程序流 程如主程序流程圖所示.當按下S1時,就啟動計價,將根據里程存放器中的內容計算和 判斷出行駛里程是否已超過起價公里數.假設已超過,那么根據里程值、每公里的單價數和 起價數來計算出當前的累計價格,并將結果存于價格存放器中,然后將時間和當前累計 價格送顯示電路顯示出來.當到達目的地的時候,由于霍爾開關沒有送來脈沖信號,就停止計價,顯示當前所應該付的金額和對應的單價,到下次啟動計價時,系統(tǒng)自動對顯 示清零,并重新進行初始化過程.3.2 定時中斷效勞程序設計在定時中斷效勞程序中,每100ms產生一次中斷,當產生10次中斷的時候,也就到 了一
26、秒,送數據到相應的顯示緩沖單元,并調用顯示子程序實時顯示.其程序流程如定 時中斷效勞程序圖所示.3.3 里程計數中斷效勞程序設計每當霍爾傳感器輸出一個低電平信號就使單片機中斷一次,當里程計數器對里程脈沖計滿1000次時,就有程序將當前總額,使微機進入里程計數中斷效勞程序中.在該程 序中,需要完成當前行駛里程數和總額的累加操作, 并將結果存入里程和總額存放器中. 其程序流程如定時中斷效勞程序圖所示.3.4 中途等待中斷效勞程序設計當在計數狀態(tài)下霍爾開關沒有輸出信號,片內的 T1定時器便被啟動,每當計時到 達10分鐘,就對當前金額加上中途等待的單價,以后每十分鐘都自動加上中途等待的單 價.當中途等
27、待結束的時候,也就自動切換到正常的計價.3.5 顯示子程序效勞程序設計由于是分屏顯示數據,所以就要用到2個顯示子程序,分別是:時分秒顯示子程序 (HMS_DI&金額單價顯示子程序(CP_DIS.3.6 鍵盤效勞程序設計鍵盤采用查詢的方式,放在主程序中,當沒有按鍵按下的時候,單片機循環(huán)主程序, 一旦右按鍵按下,便轉向相應的子程序處理,處理結束再返回.其程序流程如下圖.10次骷快下恢復現(xiàn)場 并中斷返回=* -噂設定就認數據送顯示緩沖單元保護現(xiàn)場調用顯示子程序重置計數初值開始停工計仍莖星毒忘里程二】 總溺空I利鼬弟據顯示三支二埠手圖3-1程序設計流程圖4 .系統(tǒng)測試4.1 測試使用的儀器或軟件由于目
28、前制作上的困難,該系統(tǒng)只作計算機仿真.仿真時我們采用VHDLX系統(tǒng)進行仿真.4.2 出租汽車里程計價表 VHDI序4.2.1 模塊設計(1)秒分頻模塊秒分頻模塊對頻率為240Hz的輸入脈沖進行分頻,得到16Hz、15Hz、1Hz三種頻率.(2)限制模塊限制模塊是系統(tǒng)的核心局部,對計價器的狀態(tài)進行限制.(3)計量模塊計量模塊完成計價、計時、計程功能.計價局部:當出租車的起價為5元,當里程小于3km時,按起價計算;當里程大于 3km時,按1.3元/km計算.等待時間累計超過2min時,按1.5元/min計算.計費數據 送入顯示譯碼模塊進行譯碼,最后送至百元、十元、元、角為單位對應的數碼管上顯示.計
29、時局部:計算乘客的等待累計時間.計時器的量程為59min,滿量程自動歸零.計時數據送入顯示譯碼模塊進行譯碼,最后送至分為單位對應的數碼管顯示.計程局部:計算乘客所行駛的公里數.計程器的量程為99km,滿量程自動歸零.計程數據送入顯示譯碼模塊進行譯碼,最后送至以公里為單位對應的數碼管顯示.(4)譯碼顯示模塊完成計價、計時、計程數據顯示.4.2.2 VHDL程序設計-文件名:taxi.hd .-功能:出租汽車里程計價表.library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_U
30、NSIGNED.ALL;entity taxi isport ( clk_240 :in std_logic;-頻率為240Hz的時鐘start :in std_logic;-計價使能一信號stop:in std_logic;-等待信號fin:in std_logic;-公里脈沖一信號cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0);一 一- 費用數據km1,km0:out std_logic_vector(3 downto 0);-公里數據min1,min0: out std_logic_vector(3 downto 0);-等待時間
31、end taxi;頻率為15Hz, 16Hz, 1Hz的信號分頻器 分頻器 分頻器秒計數器制費用計數器 公里計數器 分的十位計數器 分的個位計數器使能信號architecture behav of taxi issignal f_15,f_16,f_1:std_logic;-signal q_15:integer range 0 to 15;signal q_16:integer range 0 to 14;signal q_1:integer range 0 to 239;signal w:integer range 0 to 59;-signal c3,c2,c1,c0:std_logic
32、_vector(3 downto 0);signal k1,k0:std_logic_vector(3 downto 0);signal m1:std_logic_vector(2 downto 0);signal m0:std_logic_vector(3 downto 0);signal en1,en0,f:std_logic;-begin feipin:process(clk_240,start)beginif clk_240event and clk_240=1 thenifstart=0thenq_15=0;q_16=0;f_15=0;f_16=0;f_1=0;f=0;elseif
33、q_15=15 then q_15=0;f_15=1;-此 IF 語句得到頻率為15Hz的信號else q_15=q_15+1;f_15=0; end if;if q_16=14 then q_16=0;f_16=1;-止匕 IF 語句得到頻率為16Hz的信號else q_16=q_16+1;f_16=0;end if;if q_1=239 then q_1=0;f_1=1;-止匕 IF 語句得到頻一一率為1Hz的信號else q_1=q_1+1;f_1=0; end if;if en1=1 then f=f_15;-止匕 IF 語句得到計費脈沖felsif en0=1 then f=f_16
34、;else f=0;end if;end if;end if;end process;process(f_1)beginif f_1event and f_1=1 thenif start=0 thenW=0;en1=0;en0=0;m1=000;m0=0000;k1=0000;k0=0000;elsif stop=1 thenif w=59 then w=0;-此 IF 語句完成等待計時if m0=1001 then m0=0000;-止匕 IF 語句完成分計數if m1=101 then m1=000;else m1=m1+1;end if;else m00000001then en1=1
35、;-止匕 IF 語句得到en1使能信號else en1=0;end if;else w=w+1;en1=0;end if;elsif fin=1 thenif k0=1001 then k0=0000;-此 IF 語句完成公里脈沖計數if k1=1001 then k1=0000;else k1=k1+1;end if;else k000000010 then en0=1;- 止匕 IF 語句得到 en0使能信號else en0=0;end if;else en1=0;en0=0;end if;cha3=c3;cha2=c2;cha1=c1;cha0=c0;-費用數據輸出km1=k1;km0=
36、k0;min1=0&m1;min0=m0;-公里數據、分鐘數據輸出end if;end process;process(f,start)beginif start=0 then c3=0000;c2=0001;c1=0000;c0=0000;elsif fevent and f=1 thenif c0=1001 then c0=0000;-此 IF 語句完成對費用的計數if c1=1001 then c1=0000;if c2=100T then c2=0000;if c3=1001 then c3=0000;else c3=c3+1;end if;else c2=c2+1;end if;el
37、se c1=c1+1;end if;else c0=c0+1;end if;end if;end process;end behav;4.3出租汽車里程計價表模塊原理圖符號a clk_240 二 r finA start7 stopcha()l3.0Jcha 1 3 0cha23.Occha3l3J)J kni0|3刈min0|3t,0|圖4-1出租汽車里程計價表模塊原理圖符號圖4.4程序仿真圖la .效 o趨 S S 手巴用曰郎 :1C |; rvBB 003dB1KMi 二 U二言言言言言言皂耳富言1ITCKdaaC311 hod t3E 口口 uu“門 口占bcil=E*口aw口cdkx
38、ri昌自7R-KRLU3Sb=1k再UI用K S- .F -1H- -!一7-W同w1.1五-H -.-=1,-法 曰-5S58SS31I8S目目總目目E-z目Cr 髭:.1= J-,-1-ra r-一,上一-.“一-a CI1-21ri1-11討-k-一工=-一L一=1-圖4-2為出租計價器程序仿真全圖A英IM313隊 UH班3112iifirlfin*TC9口工U汨 叫 梆 函. 守15 扇皿 初S 出. 守35心叫 050 小 3 引】 &T5 V-flO 35 弓q. jl 2fk1V g r展 B M9 cbd 回 cbil出rLS 43 聞 5nl 田dl 田UL5S u國* HI
39、 !H io3iJ 國M8 3E r T i i bE EI, Bii 1i t*T*Mirhcj g60.(1 raltd.0 is213 D 二切QC1Im 14M,0 ezbGO.t 皿jMd.O xs 1p. 5 DE | JJrrjn_rn nLn.-1m.nrn j . fnQ邙Itoom4oon?1隴口MMc00 DGn- *dix.J . l -ag L Y 2 .x占X 8sB ;9Q狙X 11: 12 Y 13K殖t 口七二t G T i t E 一 X 5K b;川K ii日f由丁匚/1I ; 2 t 3. 4dt2(秘Q 46F分巾國心1uL$B亞i山口Sa砧F國te)
40、i出IQS bjBi/15fl aid訓0 MdB虧不B J6戢Q田U5G55d t_lerH國丫0 :導H國M1等苒 nflB甘心S M1聘s 15S dMalt! Tint BeIIInrr址OJujucDO Z5ODCOqihjUU.lODOa12 :AMD冊冊冊TmiT厚 岬岫MWM IHbllIIM -HI而 ULM目/.兒圖4-4為出租計價器程序仿真圖-25 .結論出租車計費器系統(tǒng)的設計已全部完成,能按預期的效果進行模擬汽車啟動、停止、 暫停等功能,并設計動態(tài)掃描電路顯示車費數目,由動態(tài)掃描電路來完成.車暫時停止 不計費,車費保持不變.出租車停止和啟動時,分別會發(fā)出響聲信號,提醒乘客注意
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
- 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2024年度年福建省高校教師資格證之高等教育法規(guī)綜合檢測試卷B卷含答案
- 2024年垃圾焚燒發(fā)電設備項目資金申請報告代可行性研究報告
- 四年級數學(簡便運算)計算題專項練習與答案
- 2024年期貨船租賃協(xié)議條款匯編
- 2024年醫(yī)生招聘協(xié)議樣本下載
- 學習先進教師心得體會
- 2024年車輛信用擔保服務正式協(xié)議
- 2024專項水穩(wěn)層鋪設項目協(xié)議樣本
- 2024采購部常用商品買賣協(xié)議模板
- 2024年商鋪租賃協(xié)議模板范例
- 2024年招錄考試-大學畢業(yè)生士兵提干筆試參考題庫含答案
- 超聲醫(yī)學科-提高超聲醫(yī)學科危急值上報率PDCA
- 計算機操作員(五級)理論考試題庫(濃縮300題)
- 化驗室崗位培訓
- 人教版小學數學六年級上冊《百分數》單元作業(yè)設計
- 2024-2029年中國自體富血小板血漿(PRP)行業(yè)市場現(xiàn)狀分析及競爭格局與投資發(fā)展研究報告
- (2024年)學校傳染病預防課件
- 餅干新品上市推廣方案
- (高清版)DZT 0303-2017 地質遺跡調查規(guī)范
- 小學道德與法治課程標準與教材研究 課件 第3、4章 入學教育、道德教育
- 專利費收款收條
評論
0/150
提交評論