光纖通信技術(shù)實(shí)驗(yàn)報(bào)告_第1頁
光纖通信技術(shù)實(shí)驗(yàn)報(bào)告_第2頁
光纖通信技術(shù)實(shí)驗(yàn)報(bào)告_第3頁
光纖通信技術(shù)實(shí)驗(yàn)報(bào)告_第4頁
光纖通信技術(shù)實(shí)驗(yàn)報(bào)告_第5頁
已閱讀5頁,還剩28頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、光纖通信技術(shù)實(shí)驗(yàn)報(bào)告 電路圖光發(fā)送模塊光接收模塊編碼模塊譯碼模塊實(shí)驗(yàn)一 半導(dǎo)體激光器的P-I-V特性曲線測(cè)試一、實(shí)驗(yàn)?zāi)康?通過測(cè)試LD的P(平均發(fā)送光功率)-I(注入電流)特性曲線和V(偏置電壓)-I特性曲線,計(jì)算閾值電流(Ith)和斜率效率,掌握LD半導(dǎo)體激光器的工作特性。二、實(shí)驗(yàn)儀器1、光發(fā)送模塊 1只3、編碼模塊 1臺(tái)4、光功率計(jì) 1臺(tái)5、萬用表 1臺(tái)6、示波器 1臺(tái) 7、跳線 若干三、實(shí)驗(yàn)原理半導(dǎo)體發(fā)光二極管(LED)是用半導(dǎo)體材料制作的正向偏置的PN結(jié)二極管。其發(fā)光機(jī)理是當(dāng)在PN結(jié)兩端注入正向電流時(shí),注入的非平衡載流子(電子空穴對(duì))在擴(kuò)散過程中復(fù)合發(fā)光,這種發(fā)光過程主要對(duì)應(yīng)光的自發(fā)發(fā)

2、射過程。半導(dǎo)體發(fā)光二極管具有可靠性較高,室溫下連續(xù)工作時(shí)間長、光功率電流線性度好等顯著優(yōu)點(diǎn),而且由于此項(xiàng)技術(shù)已經(jīng)發(fā)展得比較成熟,所以其價(jià)格非常便宜。然而LED的發(fā)光機(jī)理決定了它存在著很多的不足,如輸出功率小、發(fā)射角大、譜線寬、響應(yīng)速度低等。半導(dǎo)體激光二極管(LD)或簡稱半導(dǎo)體激光器則是通過受激輻射發(fā)光,是一種閾值器件。處于高能級(jí)E2的電子在光場(chǎng)的感應(yīng)下發(fā)射一個(gè)和感應(yīng)光子一模一樣的光子,而躍遷到低能級(jí)El,這個(gè)過程稱為光的受激輻射,所謂一模一樣,是指發(fā)射光子和感應(yīng)光子不僅頻率相同,而且相位、偏振方向和傳播方向都相同,它和感應(yīng)光子是相干的。半導(dǎo)體激光二極管作為激光器的一種,同樣也必須滿足粒子數(shù)反轉(zhuǎn)

3、和光反饋兩個(gè)要求。其使用的方法是向P型和N型限制層重?fù)诫s,使費(fèi)米能級(jí)間隔在PN結(jié)正向偏置下超過帶隙實(shí)現(xiàn)粒子數(shù)反轉(zhuǎn)。再利用與PN結(jié)平面相垂直的自然解理面構(gòu)成F-P腔,進(jìn)行光放大,輸出激光。半導(dǎo)體激光器在熱平衡情況下,自發(fā)發(fā)射占絕對(duì)優(yōu)勢(shì)。當(dāng)外界給系統(tǒng)提供能量時(shí),如采用電流注入(即電泵),打破熱平衡狀態(tài),隨著注入電流的增加,半導(dǎo)體二極管漸漸地增加自發(fā)發(fā)射,當(dāng)大量粒子處于高能級(jí),即粒子數(shù)反轉(zhuǎn)后,開始受激發(fā)射開始占主導(dǎo)地位。在光束發(fā)射方向上的受激發(fā)射比自發(fā)發(fā)射的強(qiáng)度大幾個(gè)數(shù)量。2、半導(dǎo)體激光器的主要特性(1)輸出電壓特性LD和LED都是半導(dǎo)體光電子器件,其核心部分都是P-N結(jié)。因此其具有與普通二極管相類

4、似的V-I特性曲線,如下圖所示:圖1-1 激光器輸出V-I特性曲線由V-I曲線我們可以計(jì)算出LD/LED總的串聯(lián)電阻R和開門電壓VT。(2)輸出光功率特性激光器光功率特性通常用輸出光功率與激勵(lì)電流I的關(guān)系曲線,即PI曲線表示。圖1-2 LD/LED的P-I特性曲線在結(jié)構(gòu)上,由于LED與LD相比沒有光學(xué)諧振腔。因此,LD和LED的功率電流的P-I關(guān)系特性曲線則有很大的差別。LED的P-I曲線基本上是一條近似的直線。從圖4中可以看出LD的P-I曲線起始部分增益很小,達(dá)到一定條件增益變大,我們稱這個(gè)出現(xiàn)竟增益的條件為閾值條件,也即閾值電流Ith,輸入電流IIth部分,P-I曲線才近似成線性關(guān)系,P增

5、大的速率即曲線的斜率,稱為斜率效率,此時(shí)LD發(fā)光是由受激輻射產(chǎn)生的激光。而在IIth部分,LD輸出的光功率較小,此時(shí)主要是自發(fā)輻射為主。P-I特性是選擇半導(dǎo)體激光器的重要依據(jù)。在選擇時(shí),應(yīng)選閾值電流Ith盡可能小,Ith對(duì)應(yīng)P值小,而且沒有扭折點(diǎn)的半導(dǎo)體激光器,這樣的激光器工作電流小,工作穩(wěn)定性高,消光比(測(cè)試方法見實(shí)驗(yàn)二)大,而且不易產(chǎn)生光信號(hào)失真。并且要求P-I曲線的斜率適當(dāng)。斜率太小,則要求驅(qū)動(dòng)信號(hào)太大,給驅(qū)動(dòng)電路帶來麻煩;斜率太大,則會(huì)出現(xiàn)光反射噪聲及使自動(dòng)光功率控制環(huán)路調(diào)整困難。本實(shí)驗(yàn)所采用的實(shí)驗(yàn)板,如光發(fā)射模塊電路圖所示,LD的激勵(lì)電流I由兩部分組成,一部分是由可調(diào)電位器WBIAS

6、控制的直流偏置電流Ibias;另一部分,是由輸入端接入經(jīng)過可調(diào)電位器WMOD的調(diào)制電流Imod。兩部分電流相加注入激光器。如圖1-5所示,激光器與電阻RU106串聯(lián),電阻兩端分別有T104和T106兩個(gè)測(cè)試點(diǎn),用來測(cè)量電阻兩端電壓,進(jìn)而計(jì)算得到注入激光器的電流值。測(cè)試點(diǎn)T105與激光二極管的陰極相連,T104與激光二極管的陽極相連,這兩點(diǎn)間電壓為激光器的偏置電壓。我們實(shí)驗(yàn)采用的激光管型號(hào)FT-F54F3SS4激光管,閾值電流10mA左右。 圖1-5 LD部分電路截圖圖1-6表示的是Imod和Ibias對(duì)輸出光功率的影響,編碼模塊提供的Imod調(diào)制信號(hào)相同的前提下,當(dāng)IbiasIth時(shí),此時(shí)激光

7、器工作在線性狀態(tài),輸出的光功率幅值會(huì)較大,我們可以把光信號(hào)接到光接收模塊,將光信號(hào)轉(zhuǎn)化為電信號(hào),通過示波器觀察波形變化。 圖1-6 Ibias和Imod對(duì)輸出光功率的影響編碼模塊的編碼開關(guān)S301控制編碼模塊輸出不同的波形,撥盤開關(guān)控制功能介紹如下:圖1-7撥盤開關(guān)示意圖我們主要用到編碼模塊撥盤開關(guān)的第1、2、6、7、8位,其中2號(hào)位控制時(shí)鐘信號(hào)頻率,撥到上側(cè)代表“0”,此時(shí)時(shí)鐘輸出2MHz的時(shí)鐘信號(hào),撥到下側(cè)代表“1”,此時(shí)輸出4MHz的時(shí)鐘信號(hào)。對(duì)于編碼模塊撥盤開關(guān)S301:第1位使能開關(guān),為1時(shí),撥盤開關(guān)正常工作。第2位為時(shí)鐘選擇開關(guān):置“0”,此時(shí)SMA302輸出2.048MHz時(shí)鐘信

8、號(hào),用于配合SMA301 讀PN碼數(shù)據(jù),上升沿觸發(fā)(低電平讀數(shù))。置“1”,SMA302輸出4.096MHz時(shí)鐘信號(hào),用于配合SMA301 讀CMI碼數(shù)據(jù),上升沿觸發(fā)(低電平讀數(shù))。第6、7、8位依次為:000 方波輸出檔 SMA301輸出為1MHz,Vp-p=5V的方波(2MHz二元碼數(shù)據(jù))。001 PN碼輸出檔 SMA301輸出16位的PN碼(偽隨機(jī)碼)。010 CMI譯碼輸出檔 SMA301輸出為由001狀態(tài)下的PN碼變換成的CMI 碼。011 16位手動(dòng)CMI碼輸出檔 SMA301輸出由撥盤開關(guān)(S303和S302)編 制的16位CMI碼。100 8位手動(dòng)碼輸出檔 SMA301輸出撥盤

9、開關(guān)S302編制的8位手動(dòng)碼。101 8位手動(dòng)碼轉(zhuǎn)化成CMI碼輸出檔 將100模式下的8位碼轉(zhuǎn)化為CMI 碼通過SMA301輸出。110 高電平檔 SMA301輸出高電平。111 低電平檔 SMA301輸出低電平。四、實(shí)驗(yàn)步驟1、LD的P-I曲線和V-I曲線的測(cè)量:本實(shí)驗(yàn)中,LD的注入電流包括兩部分:調(diào)制電流和偏置電流,偏置電流的作用是讓LD工作在合適的直流偏置點(diǎn);調(diào)制電流的作用是讓LD的輸出光信號(hào)攜帶調(diào)制信息。我們進(jìn)行P-I-V曲線測(cè)試時(shí),不需要加載信息,所以我們需要先將調(diào)制電流調(diào)為0,然后調(diào)節(jié)偏置電流,并記錄不同偏置電流時(shí),LD的輸出光功率和偏置電壓。(1)用電纜線連接編碼模塊SMA301

10、與光發(fā)射模塊SMA101。(2)從光發(fā)送模塊的LD尾纖的連接器中取出保護(hù)塑料套,插入光功率計(jì),擰緊光纖外圍的螺絲環(huán),打開光功率計(jì),設(shè)置光功率計(jì)測(cè)量波長為1550nm,測(cè)出的光功率就是光發(fā)送端LD的輸出光功率P。(3)編碼模塊上電,光發(fā)送模塊上電,編碼模塊藍(lán)色撥盤開關(guān)S301第1位置1,第6、7、8位撥到111低電平輸出檔。此時(shí)SMA301輸出低電平,順時(shí)針旋轉(zhuǎn)光發(fā)送模塊的電位器WMOD到底,使調(diào)制電流為0(可以通過測(cè)量電阻RU205左側(cè)電壓是否為0來判斷調(diào)制電流是否調(diào)為0)。(4)順時(shí)針旋轉(zhuǎn)電位器WBIAS到底,使得流過LD偏置電流為0。(5)逆時(shí)針緩慢旋轉(zhuǎn)電位器WBIAS,使偏置電阻RU10

11、6兩端之間電壓(紅色表筆接T106,黑色表筆接觸測(cè)試點(diǎn)T104)為表1中Vr所示大小,此時(shí)Vr的電壓值除以電阻值RU106(100歐姆),即可得到注入激光二極管LD的電流I。(6)讀出此時(shí)光功率計(jì)上的數(shù)值填入表1中P(mw),將光功率計(jì)切換到dbm檔,讀出此時(shí)數(shù)值填入表1中P(dbm)。 (7)用數(shù)字萬用表測(cè)量紅色表筆接T104,黑色表筆接觸測(cè)試點(diǎn)T105,測(cè)得的電壓即為激光二極管的偏置電壓U,填入表1。(8)重復(fù)步驟(5)、(6)、(7),完成表1,并繪制LD的P-I曲線和V-I曲線。注:這里需說明的是這里測(cè)得的是P-I和V-I曲線的一段(功率調(diào)節(jié)范圍約4個(gè)dB),為了防止燒壞光發(fā)送組件,電

12、流I的調(diào)節(jié)范圍有限(電流調(diào)節(jié)范圍約為30mA),但不妨礙整個(gè)P-I曲線的測(cè)量,因?yàn)闇y(cè)試方法是一樣的,只是多測(cè)幾組值而已。表1I(mA)12345678Vr(V)0.10.20.30.40.50.60.70.8U(V)0.7540.7980.8520.8480.8680.8850.9030.919P(mW)0.000030.000090.00020.00030.00040.00050.00080.0016P(dBm)-46.58-41.31-38.76-36.79-35.07-33.44-31.56-28.20I(mA)910111213141516Vr(V)0.91.01.11.21.31.4

13、1.51.6U(V)0.9330.9460.9570.9690.9830.9931.0051.016P(mW)0.00780.06540.1260.1850.250.310.3540.411P(dBm)-21.55-12.64-9.68-8.03-6.74-5.93-5.22-4.57I(mA)17181920Vr(V)1.71.81.92.0U(V)1.0291.0411.0521.06P(mW)0.4720.5270.5790.632P(dBm)-3.97-3.50-3.09-2.7 2、 觀察偏置電流為零的條件下,改變調(diào)制電流對(duì)輸出光信號(hào)的影響:(1) 用電纜線連接編碼模塊SMA301與

14、光發(fā)送模塊SMA101,取下法蘭盤上的保護(hù)套,將光發(fā)送模塊LD尾纖的連接器接入法蘭盤的一端,法蘭盤另外一端與光接收模塊PD尾纖連接器相連。光接收模塊測(cè)試點(diǎn)T201連接到示波器。(2) 給編碼模塊、光發(fā)送模塊、光接收模塊三個(gè)模塊上電。(3) 編碼模塊藍(lán)色撥盤開關(guān)S301第1位置1、第6、7、8位撥到111低電平輸出檔,此時(shí)SM(4) A301輸出低電平,順時(shí)針旋轉(zhuǎn)電位器WMOD到底,使調(diào)制電流為0。(5) 順時(shí)針旋轉(zhuǎn)電位器WBIAS到底,使偏置電流為0(可通過測(cè)量電阻RU204電壓值判斷是否調(diào)0)。(6) 控制編碼模塊藍(lán)色撥盤開關(guān)S301第1位置1,第6、7、8位撥到110高電平輸出檔,此時(shí)從S

15、MA301輸出約為5V的CMOS高電平。(7) 調(diào)節(jié)光發(fā)送模塊可變電阻器WMOD,使R106兩端T106與T104之間電壓值Vr為表2中所示值,計(jì)算可得到此時(shí)調(diào)制電流值Imod=Vr/100。(8) 將編碼模塊藍(lán)色撥盤開關(guān)S301第1位置1,第6、7、8位撥到000位置方波輸出檔,此時(shí)SMA301輸出Vp-p=5V的方波。調(diào)節(jié)示波器,觀察輸出信號(hào)波形變化,并記錄此Imod條件下Vp-p的大小。(9) 重復(fù)步驟(5)、(6)、(7),改變Imod的大小,測(cè)試不同Imod情況下,波形Vp-p的大小,完成表2。表2Vr(V)2.0001.8001.6001.4001.200Imod(mA)20181

16、61412Vp-p(V)1.121.00.840.70.56Vr(V)1.0000.8000.6000.4000.200Imod(mA)108642Vp-p(V)0.40.250.040.030.03注:設(shè)置調(diào)制電流過程中我們首先采用5.0V高電平,調(diào)節(jié)電位器WMOD,使輸出LD調(diào)制電流為Imod。之后我們輸入Vp-p=5.0V,占空比50%的方波作為調(diào)制電流,實(shí)際上此時(shí)用電壓表測(cè)的的流入LD的調(diào)制電流平均大小應(yīng)是Imod/2。此實(shí)驗(yàn)主要用于觀察輸出波形變化,所以為方便起見,我們表2中采用的是5.0V高電平時(shí)的調(diào)制電流Imod。3、觀察調(diào)制電流一定的條件下,改變偏置電流對(duì)輸出光信號(hào)的影響:(1

17、) 保持上個(gè)實(shí)驗(yàn)線路的連接。(2) 編碼模塊藍(lán)色撥盤開關(guān)S301第1位置1,第6、7、8位撥到111低電平輸出檔。此時(shí)SMA301輸出調(diào)制電流為低電平,調(diào)節(jié)WMOD,使調(diào)制電流為0。(3) 順時(shí)針旋轉(zhuǎn)WBIAS到底使偏置電流為0。(4) 編碼模塊藍(lán)色撥盤開關(guān)S301第1位置1,第6、7、8位撥到110高電平輸出檔。用數(shù)字萬用表電壓檔檢測(cè)T106與T104之間電壓值,同時(shí)逆時(shí)針調(diào)節(jié)WMOD,使萬用表讀數(shù)為0.500V(此時(shí)調(diào)制電流調(diào)節(jié)為5mA)。(5) 編碼模塊藍(lán)色撥盤開關(guān)S301第1位置1,第6、7、8位撥到111低電平輸出檔,檢測(cè)T106與T104之間電壓值,同時(shí)逆時(shí)針調(diào)節(jié)WBIAS,使萬用

18、表讀數(shù)為表3中Vr的值,此時(shí)相應(yīng)的Ibias=Vr/100。(6) 編碼模塊藍(lán)色撥盤開關(guān)S301第1位置1,第6、7、8位撥到000位置方波輸出檔,調(diào)節(jié)示波器至顯示清晰波形,觀察示波器中波形,并記錄Vp-p。(7) 重復(fù)步驟(5)、(6)完成表3。表3Vr(V)2.0001.8001.6001.4001.200Ibias(mA)2018161412Vp-p(mV)470450450450430Vr(V)1.0000.8000.6000.4000.200Ibias(mA)108642Vp-p(mV)4003603002201205、 實(shí)驗(yàn)報(bào)告要求1、 畫出LD的P-I特性曲線和V-I特性曲線。根

19、據(jù)所畫出的P-I特性曲線,參照?qǐng)D1-4找出半導(dǎo)體激光器閾值電流Ith的大小,求出半導(dǎo)體激光器的斜率效率。有P-I特性曲線圖可知,半導(dǎo)體激光器閾值電流Ith = 9Ma,斜率K=0.63-0.08/20-10=0.0572、 觀察偏置電流為零時(shí),不同調(diào)制電流對(duì)輸出光波形的影響。如圖,存在7V的閾值電流,開啟后呈線性關(guān)系3、 觀察調(diào)制電流為零時(shí),改變偏置電流對(duì)輸出光波形的影響。 開始是上升比較快,最后緩慢。6、 思考題1、 為什么激光二極管的特性曲線與LED不同。答:激光二極管的工作原理與LED的原理不同,激光二極管存在損耗和增益,當(dāng)增益大于消耗是就會(huì)形成線性關(guān)系,增加的速度比較快。而LED的發(fā)光

20、與半導(dǎo)體電流關(guān)系類似。2、 LD的偏置電流的作用是什么?答:費(fèi)米能級(jí)間隔在PN結(jié)正向偏置下超過帶隙實(shí)現(xiàn)粒子數(shù)反轉(zhuǎn),電流越大增益就越大,要形成激光需要一定的偏置電流,當(dāng)輸入電流IIth部分,P-I曲線才近似成線性關(guān)系,LD發(fā)光是由受激輻射產(chǎn)生的激光。而在IIth部分,LD輸出的光功率較小,此時(shí)主要是自發(fā)輻射為主。所以偏置電流就是可以使LD工作在產(chǎn)生激光的線性曲線的合適電流。3、如何確定激光二極管的工作點(diǎn)? 答:通過輸入不同的電流值,測(cè)出相應(yīng)的PI曲線,通過圖表可以參考相應(yīng)的工作點(diǎn)?;蛘呓oLD一定有小到大的電流,在加入不同的電流,查看是否實(shí)行線性放大,即可判斷。實(shí)驗(yàn)二 平均發(fā)送光功率以及消光比測(cè)試

21、一、實(shí)驗(yàn)?zāi)康恼莆掌骄夤β实臏y(cè)試方法以及光功率的表示方法,理解不同編碼方式對(duì)平均光功率的影響,掌握激光二極管的工作點(diǎn)與消光比、平均發(fā)送光功率的關(guān)系。了解消光比定義,掌握消光比的測(cè)試方法,掌握調(diào)整消光比的方法。二、實(shí)驗(yàn)儀器1、光纖通信實(shí)驗(yàn)箱2、20M雙蹤示波器 一臺(tái)3、光功率計(jì) 一個(gè)4、數(shù)字萬用表 一個(gè)5、光纖跳線 若干三、實(shí)驗(yàn)原理光發(fā)送機(jī)的平均輸出光功率被定義為當(dāng)發(fā)送機(jī)送偽隨機(jī)序列時(shí)(PN碼),發(fā)送端輸出的光功率的值。平均發(fā)送光功率指標(biāo)與實(shí)際的光纖線路有關(guān),在長距離光纖數(shù)字通信系統(tǒng)中,要求有較大的平均發(fā)送光功率;在短距離的光纖數(shù)字通信系統(tǒng)中,要求較小的平均發(fā)送光功率。設(shè)計(jì)人員應(yīng)根據(jù)整個(gè)光纖通信

22、系統(tǒng)的經(jīng)濟(jì)性、穩(wěn)定性和可維護(hù)性全面考慮該指標(biāo),提出合適的數(shù)值要求,而不是越大越好。圖2-1 模擬光發(fā)送調(diào)制度測(cè)試框圖平均發(fā)送光功率測(cè)試框圖如圖2-1所示。在實(shí)驗(yàn)板編碼模塊,有兩組撥盤開關(guān),藍(lán)色8位撥盤開關(guān)S301可以控制輸出不同的編碼(實(shí)驗(yàn)一有詳細(xì)介紹);紅色16位撥盤開關(guān)(S302和S303)提供16位可控編碼位,可以根據(jù)自己需要獲得不同的編碼。編碼后的波形通過光纖傳給光發(fā)送模塊(實(shí)驗(yàn)一用到的模塊),將電信號(hào)轉(zhuǎn)化為光信號(hào),這樣我們就可以通過光功率計(jì)讀出不同編碼,在不同Ibias和Imod情況下,輸出光功率的變化,進(jìn)而計(jì)算他們的平均光功率和消光比。消光比的測(cè)試如圖2-1,首先將光發(fā)送端機(jī)的輸入

23、信號(hào)斷掉(即不給光發(fā)射模塊送電信號(hào)),測(cè)出的光功率即為P00,即對(duì)應(yīng)的輸入數(shù)字信號(hào)為全“0”時(shí)的光功率。測(cè)量P11時(shí),編碼模塊通過控制撥盤開關(guān)送入偽隨機(jī)碼。因?yàn)閭坞S機(jī)碼的“0”碼和“1”碼等概率,所以,全“1”碼時(shí)的光功率應(yīng)是偽隨機(jī)碼時(shí)平均光功率P的兩倍,即P11=2P,則消光比為: (21)測(cè)試結(jié)果可按上式計(jì)算。某些資料中,消光比還使用以下的一種表示公式: (22)當(dāng)P00=0.1P11時(shí),EXT=10dB。 本實(shí)驗(yàn)提供PN碼和CMI兩種碼用于測(cè)試,在測(cè)量平均光功率時(shí)需要說明兩點(diǎn):有的功率計(jì)可直接讀dBm,若只能讀mW(毫瓦)或W(微瓦)應(yīng)換算成dBm,光源的平均輸出光功率與注入它的電流大小

24、有關(guān),測(cè)試應(yīng)在正常工作的注入電流條件下進(jìn)行。四、實(shí)驗(yàn)步驟首先我們需要調(diào)節(jié)調(diào)制電流Imod和偏置電流Ibias,分別把調(diào)制電流和偏置電流調(diào)為表5的數(shù)值,然后分別觀察PN碼和CMI碼輸入的情況下,激光的平均輸出功率,最后進(jìn)行消光比測(cè)試,消光比測(cè)試結(jié)束后再調(diào)整調(diào)制電流Imod和偏置電流Ibias,進(jìn)行下一組的測(cè)量。其具體步驟如下:1、調(diào)制電流Imod與偏置電流Ibias的設(shè)置(1) 將編碼模塊SMA301與光發(fā)射模塊SMA101連接,并給兩模塊上電。(2) 順時(shí)針旋轉(zhuǎn)光發(fā)送模塊電位器WBIAS到底,此時(shí)偏置電流被置為零。(3) 將編碼模塊撥盤開關(guān)S301第1位撥到1,第6、7、8位撥到110高電平輸

25、出檔,此時(shí)SMA301輸出一個(gè)約為5.0V的CMOS高電平信號(hào)。(4) 數(shù)字萬用表撥到直流電壓檔,紅表筆接光發(fā)射模塊測(cè)試點(diǎn)T106,黑表筆接T104,實(shí)時(shí)監(jiān)測(cè)電阻RU106=100歐姆兩端電壓。(此電阻與激光器LD串聯(lián),流過電阻的電流即為注入激光器電流)。(5) 調(diào)節(jié)電位器WMOD,使數(shù)字萬用表讀數(shù)為表4中調(diào)制電流Imod*100mV=1V。(6) 編碼模塊撥盤開關(guān)S301第1位置1,第6、7、8位撥到111低電平輸出檔。(此時(shí)SMA301輸出低電平,這樣調(diào)制電流就被置零,接下來調(diào)節(jié)偏置電流)。(7) 調(diào)節(jié)電位器WBIAS,使數(shù)字萬用表電壓讀數(shù)為表4中偏置電流Ibias*100mV。此時(shí)偏置電

26、流也調(diào)節(jié)完畢,接下來分別測(cè)試PN碼與CMI碼在此調(diào)制電流和偏置電流狀態(tài)下的平均輸出光功率。2、平均輸出光功率測(cè)試(8)從光發(fā)送模塊的LD尾纖的連接器中取出保護(hù)塑料套,接入光功率計(jì),此時(shí)從光功率計(jì)讀出的功率就是光端機(jī)的LD的輸出光功率P。(9)編碼模塊撥盤開關(guān)S301第1位置1,第6、7、8位撥到位置001PN碼輸出檔。讀出此時(shí)平均輸出光功率PPN,填入表4。(10)編碼模塊撥盤開關(guān)S301第1位置1,第6、7、8位撥到位置010CMI碼輸出檔,用4.096MHz的CMI碼驅(qū)動(dòng)LD驅(qū)動(dòng)器。讀出此時(shí)平均輸出光功率PCMI,填入表4。注:測(cè)試完一組Ibias和Imod對(duì)應(yīng)的PPN和PCMI,先不著急

27、改變電流,繼續(xù)進(jìn)行消光比的測(cè)試。表4Imod(mA)1010101010101010Ibias(mA)12345678PPN(dBm)-10.16-8.98-8.07-7.28-6.68-6.06-5.56-5.08PCMI(dBm)-10.24-9.12-8.25-7.48-6.88-6.27-5.74-5.25Imod(mA)1010101010101010Ibias(mA)910111213141516PPN(dBm)-4.61-4.01-3.43-2.95-2.48-2.08-1.72-1.37PCMI(dBm)-4.74-4.15-3.57-3.09-2.61-2.21-1.84-1

28、.493、消光比測(cè)試(11)編碼模塊撥盤開關(guān)S301第1位置1,第6、7、8位撥到111位置低電平輸出檔,此時(shí)SMA301輸出低電平(此時(shí)驅(qū)動(dòng)電路輸出“0”碼),記錄光功率計(jì)讀數(shù)P00。填入表5。(12)編碼模塊撥盤開關(guān)S301第1位置1,第6、7、8位撥到位置001PN碼輸出檔,此時(shí)光光功率計(jì)讀數(shù)為PPN,將PPN*2就得到P11。填入表5。(13)按照式2-1計(jì)算小光比EXT。 (14)回到步驟(6),重新設(shè)置偏置電流,大小為表5中所示,按步驟進(jìn)行測(cè)量。 表5Imod(mA)1010101010101010Ibias(mA)12345678P00(dBm)-45.16-40.44-37.9

29、8-36.03-34.44-32.69-30.50-28.16P11(dBm)-20.32-17.96-16.14-14.56-13.36-12.12-11.12-10.16EXT-3.47-3.53-3.72-3.94-4.11-4.31-4.37-4.43Imod(mA)1010101010101010Ibias(mA)910111213141516P00(dBm)-22.23-11.93-8.86-7.27-6.04-5.15-4.42-3.77P11(dBm)-9.22-8.02-6.86-5.90-4.96-4.16-3.44-2.74EXT-3.82-1.72-1.11-0.91-

30、0.86-0.93-1.09-1.39五、實(shí)驗(yàn)報(bào)告要求1、分別用dBm和mW表示所測(cè)得的2M PN碼發(fā)送機(jī)平均功率。2、分別用dBm和mW表示所測(cè)得的4M CMI碼發(fā)送機(jī)平均功率。 如表所示:Imod(mA)1010101010101010Ibias(mA)12345678PPN(dBm)-10.16-8.98-8.07-7.28-6.68-6.06-5.56-5.08PCMI(dBm)-10.24-9.12-8.25-7.48-6.88-6.27-5.74-5.25Imod(mA)1010101010101010Ibias(mA)910111213141516PPN(dBm)-4.61-4.

31、01-3.43-2.95-2.48-2.08-1.72-1.37PCMI(dBm)-4.74-4.15-3.57-3.09-2.61-2.21-1.84-1.493、 對(duì)比PN碼和CMI碼的平均發(fā)送光功率,分析其為什么跟理論值不同,討論LD的偏置電流對(duì)平均發(fā)送光功率的影響。答:平均發(fā)送功率隨著偏置電流的增大而不斷的增大,增大的速率不斷的減小。4、記錄實(shí)驗(yàn)過程,計(jì)算PN碼通過光發(fā)射機(jī)產(chǎn)生的消光比,繪制不同偏置電流條件下,調(diào)制電流與消光比的關(guān)系曲線,分析調(diào)制電流和偏置電流對(duì)消光比的影響。數(shù)據(jù)如下:Imod(mA)1010101010101010Ibias(mA)12345678P00(dBm)-4

32、5.16-40.44-37.98-36.03-34.44-32.69-30.50-28.16P11(dBm)-20.32-17.96-16.14-14.56-13.36-12.12-11.12-10.16EXT-3.47-3.53-3.72-3.94-4.11-4.31-4.37-4.43Imod(mA)1010101010101010Ibias(mA)910111213141516P00(dBm)-22.23-11.93-8.86-7.27-6.04-5.15-4.42-3.77P11(dBm)-9.22-8.02-6.86-5.90-4.96-4.16-3.44-2.74EXT-3.82-

33、1.72-1.11-0.91-0.86-0.93-1.09-1.39六、思考題1、為什么不同的線路碼型具有不同的平均光功率?答:不同的線路碼型編制方式不一樣,比如PN碼為0時(shí)CMI碼為01,可以看出PN碼的功率為零,而CMI碼不為零。引起差異。2、為什么全零碼時(shí),光發(fā)送機(jī)的平均光功率不等于零?這對(duì)系統(tǒng)性能有什么影響?答:這樣會(huì)影響到接受端的譯碼過程,產(chǎn)生較大的誤碼率。實(shí)驗(yàn)三 光線路碼測(cè)試一、實(shí)驗(yàn)?zāi)康?1、熟悉線路碼型在光通信中的作用。2、掌握CMI碼的編碼和譯碼電路原理。二、實(shí)驗(yàn)儀器1、光纖通信實(shí)驗(yàn)箱2、20M雙蹤示波器 一臺(tái)3、光功率計(jì) 一個(gè)4、數(shù)字萬用表 一個(gè)5、光纖跳線 若干三、實(shí)驗(yàn)原理

34、碼型變換含義廣泛,本實(shí)驗(yàn)中我們將要介紹的碼型變換指的是線路碼型的編碼和譯碼。我國郵電部從管理的角度出發(fā),規(guī)定了幾種在公用網(wǎng)上使用的碼型(專用網(wǎng)也可以參照使用):5B6B、CMI、擾碼二進(jìn)制、1B1H以及565Mbit/s光纖傳輸系統(tǒng)用的8B1H。本實(shí)驗(yàn)中將以CMI的編解碼為例介紹碼型變換實(shí)驗(yàn)。CMI碼即是Coded Mark Inversion(編碼傳號(hào)反轉(zhuǎn))碼的縮寫,表3-1中給出了其變換規(guī)則,傳號(hào)1交替地用00和11表示(若一個(gè)傳號(hào)編為00,則下一個(gè)傳號(hào)必須編為11,也就00仍有此性質(zhì)),具有一定的糾錯(cuò)能力,易于實(shí)現(xiàn),易于定時(shí)提取,因此在低速系統(tǒng)中選為傳輸碼型。在ITU-T的G.703建議

35、中,規(guī)定CMI碼為四次群(139.264Mbit/s)的接口碼型。日本電報(bào)電話公司在32Mbit/s及更低速率的光纖通信系統(tǒng)中也采用了CMI碼。表3-1 CMI碼變換規(guī)則輸入二元碼CMI 碼001100或11交替出現(xiàn)時(shí)鐘二元碼CMI100000000001111111111111圖3-2 CMI碼與二元碼的轉(zhuǎn)換關(guān)系圖3-3給出了CMI編碼的原理框圖。編碼電路接收來自信號(hào)源的單極性非歸零碼(NRZ碼),并把這種碼型變換為CMI碼送至光發(fā)送機(jī)。輸入若是傳號(hào),則翻轉(zhuǎn)輸出;若是空號(hào),則打開門開關(guān),使時(shí)鐘的反向輸出。其電路原理如圖3-4所示。需要注意,輸入的單極性碼已經(jīng)與時(shí)鐘同步。采樣反相開關(guān)合成翻轉(zhuǎn)電

36、路NRZ碼時(shí)鐘CMI碼10圖3-3 CMI編碼框圖圖3-4 CMI編碼原理電路本實(shí)驗(yàn)系統(tǒng)中采用可編程邏輯器件(PLD)來實(shí)現(xiàn)CMI的編譯碼。CMI編碼的VHDL源程序如下:library ieee;use ieee.std_logic_1164.all;entity df is port(invert,clk:in std_logic; q:buffer std_logic);end df;architecture df of df is signal d:std_logic; begin d=q xor invert; process begin wait until clk=1; q=d;

37、 end process; end df;library ieee;use ieee.std_logic_1164.all;entity cmi_code is port (nrz,clk:in std_logic; cmi:out std_logic);end cmi_code;architecture cmi_code of cmi_code is component df port(invert,clk:in std_logic; q:buffer std_logic); end component; signal a,b:std_logic; begin cmi=a when nrz=

38、1 else b; b= not clk; u:df port map(nrz,clk,a); end cmi_code;解碼采用的思想很簡單:當(dāng)時(shí)鐘和信碼對(duì)齊時(shí),如果輸入的是11或00,則輸出為1;如果輸入為01,則輸出為0。但是問題的關(guān)鍵在于怎樣才可將一序列的碼元正確地兩個(gè)兩個(gè)分組。經(jīng)過傳輸處理后的CMI碼首先要提取位同步時(shí)鐘,接著抽樣判決。此時(shí)CMI碼流和發(fā)送的碼流在波形上沒有區(qū)別(暫時(shí)忽略誤碼的情況),但將其兩個(gè)兩個(gè)分組,卻有兩種不同的情況。當(dāng)然,其一是正確的,可以得到正確的譯碼結(jié)果,如果接下來的工作亦是正確的話;而另一種在絕大多數(shù)的情況下將導(dǎo)致譯碼工作的失敗。101001110001

39、011CMI碼流方法一:方法二:圖3-5 CMI碼流的正確分組結(jié)合CMI碼流的特點(diǎn),這里提供了兩種可以正確分組的方法:如果在碼流中檢測(cè)到了0101的話,那么就可以將緊接著它們的兩個(gè)碼元分為一組,以此類推;另一種方法更為簡單易行,那就是在碼流中檢測(cè)1到0的跳變后,就可以將下降沿后的兩個(gè)碼元分為一組了。一般情況下,第二種方法可以盡快地實(shí)現(xiàn)正確的分組。下面的例子具體說明了這兩種方法的使用。如圖3-5所示。接下來就是依據(jù)編碼規(guī)則進(jìn)行譯碼了。這里列舉了三種具體的解決方案:第一種方案:其原理框圖如圖3-6所示,原理電路如圖3-7所示。從位同步時(shí)鐘中分離出兩路時(shí)鐘,它們和位同步時(shí)鐘同頻,但是占空比不一樣,兩

40、路時(shí)鐘信號(hào)的占空比都是25。其區(qū)別在于它們的相位相差半個(gè)周期。將每組中的兩個(gè)碼元分開,從而形成了第一路信號(hào)和第二路信號(hào),在兩路時(shí)鐘的正確作用下比較這兩路信號(hào),便可以將CMI碼解譯出來。這種方案電路結(jié)構(gòu)簡單,各部分功能清晰,易于理解和操作。分離時(shí)鐘下降沿檢出讀取第一路信號(hào)讀取第二路信號(hào)比較CMI位同步時(shí)鐘CMINRZ第一路時(shí)鐘第二路時(shí)鐘圖3-6 方案一的原理框圖圖3-7 方案一的原理電路第二種方案:解碼方法的本質(zhì)和第一種相似,差別主要在于找到正確分組的方法不同,它分別用二分頻后的時(shí)鐘的上升沿和下降沿來讀取兩路信號(hào),其原理框圖如圖3-8所示。二分頻0101檢出上升沿讀取第一路信號(hào)下降沿讀取第二路信

41、號(hào)比較CMI位同步時(shí)鐘CMINRZ圖3-8 方案二的原理框圖第三種方案:這里的譯碼思路稍有變化。CMI碼流經(jīng)過串并轉(zhuǎn)換后,在二分頻后的位同步時(shí)鐘作用下讀出,進(jìn)行比較譯碼,其原理框圖如圖3-9所示。二分頻下降沿檢出比較CMI位同步時(shí)鐘CMINRZ串并轉(zhuǎn)換圖3-9 方案三的原理框圖本實(shí)驗(yàn)板實(shí)驗(yàn)過程如圖3-10所示,在編碼模塊通過撥盤開關(guān)S301控制CPLD芯片產(chǎn)生PN/CMI碼,T301可以檢測(cè)輸出的碼波形。我們實(shí)驗(yàn)板也可以進(jìn)行手動(dòng)編碼,紅色編碼開關(guān)S303和S302可以產(chǎn)生16可編程碼。編碼模塊產(chǎn)生的碼型通過SMA301輸入到光發(fā)送模塊SMA101,光發(fā)送模塊主要作用是將碼型轉(zhuǎn)化成光信號(hào),進(jìn)行光

42、纖傳輸,我們可以通過控制WBIAS和WMOD來改變激光器的工作點(diǎn),使得需要傳送的碼型盡可能保持完整性,避免發(fā)生失真變形。碼型經(jīng)過光纖傳輸?shù)竭_(dá)光接收模塊的PD由光信號(hào)轉(zhuǎn)化為電信號(hào),之后經(jīng)過前置放大、AGC可變?cè)鲆娣糯笃?,進(jìn)行波形檢測(cè)調(diào)整,還原成比較完整的波形信號(hào)以便進(jìn)行下一步的碼型檢測(cè)、判別、譯碼。我們譯碼模塊CPLD將光纖中傳輸?shù)腃MI碼變換為PN碼,T404為接收端恢復(fù)的PN碼時(shí)鐘,T405為轉(zhuǎn)換得到的PN碼,T406為接收端恢復(fù)的CMI碼時(shí)鐘,T401可以檢測(cè)輸入的CMI碼。我們可以通過四路示波器同時(shí)檢測(cè)這四個(gè)測(cè)試點(diǎn)的波形,掌握PN碼轉(zhuǎn)CMI碼的規(guī)則。圖3-10 線路碼實(shí)驗(yàn)框圖 四、實(shí)驗(yàn)步

43、驟實(shí)驗(yàn)框圖如圖3-10所示。具體實(shí)驗(yàn)步驟如下:1、 編碼實(shí)驗(yàn)(1) 編碼模塊上電,編碼模塊測(cè)試點(diǎn)T303接示波器CH1,用于觀察PN碼碼型;測(cè)試點(diǎn)T305接示波器CH2,用于顯示PN碼對(duì)應(yīng)的2MHz時(shí)鐘;測(cè)試點(diǎn)T304接示波器CH3,用于觀察CH1中的PN碼通過表3-1的碼型變換規(guī)則,變換成的CMI碼;測(cè)試點(diǎn)T306接示波器CH4,用于顯示讀取CMI碼用到的4MHz時(shí)鐘。(2) 編碼模塊撥盤開關(guān)S301第1位置1,第6、7、8位撥到010CMI碼輸出檔,此時(shí)CPLD將PN碼變換為CMI碼,我們通過示波器四路波形可以觀察到變換碼型的過程。(3) CH1為PN碼,對(duì)照CH2時(shí)鐘基底,低電平讀數(shù),記

44、錄15位PN碼,填入表6。(4) CH3為CMI碼,對(duì)照CH4時(shí)鐘基底,低電平讀數(shù),記錄30位CMI碼,填入表6。(5) 認(rèn)真觀察兩種碼型,掌握PN碼變換為CMI的變換規(guī)則。表6PN碼10011010CMI碼1101010111010001PN碼11110001CMI碼11000100010101112、 譯碼實(shí)驗(yàn)我們首先連接線路建立數(shù)字光纖通信系統(tǒng),然后把表7所示的、需要傳輸?shù)拇a元在數(shù)學(xué)上轉(zhuǎn)換為對(duì)應(yīng)的CMI碼,然后可以通過編碼開關(guān)S303和S302,把CMI碼輸入到CPLD中,CPLD自動(dòng)將編碼開關(guān)對(duì)應(yīng)的碼元發(fā)送出去,經(jīng)過光發(fā)送模塊和光接收模塊進(jìn)入譯碼模塊。(1)連接編碼模塊接口SMA301

45、與光發(fā)送模塊接口SMA101,光發(fā)送模塊LD的尾纖與光接收模塊PD的尾纖通過法蘭盤連接起來,光發(fā)送模塊輸出接口SMA201連接到示波器。連接完成后給三個(gè)模塊上電。(2)將編碼模塊撥盤開關(guān)S301第1位置1,第6、7、8位撥到000方波輸出檔,此時(shí)SMA301輸出占空比50%的方波。(3)觀察示波器上波形,調(diào)節(jié)電位器WBIAS和WMOD,使輸出波形上升沿、下降沿陡峭,盡量少的電尖峰,并且占空比為50%的方波(可以根據(jù)實(shí)驗(yàn)二Ibias和Imod的調(diào)節(jié)方法,將兩者分別調(diào)節(jié)為10mA和5mA)。(4)將光接收模塊的輸出接口SMA201接到譯碼模塊輸入端SMA401,并給譯碼模塊上電。示波器CH1譯碼模

46、塊接測(cè)試點(diǎn)T405,CH2接譯碼模塊T404,CH3接光發(fā)送模塊T101,CH4接編碼模塊T305。(5)編碼模塊撥盤開關(guān)S301,第1位置1,第6、7、8位置011,16位可編程碼輸出檔,表7中給出7組原始碼,將原始碼轉(zhuǎn)換為CMI碼,然后將撥盤開關(guān)S303和S302,調(diào)整為轉(zhuǎn)換成的16位 CMI碼。(6)測(cè)試點(diǎn)T101可以檢測(cè)輸入的CMI碼,通過觀察CH3,與CH4一一對(duì)應(yīng),低電平讀數(shù),讀出S303和S302編程的CMI碼,填入表7。(7)測(cè)試點(diǎn)T405用于檢測(cè)CPLD將CMI碼轉(zhuǎn)換的PN碼,我們可以通過觀察CH1波形,與CH2一一對(duì)應(yīng),低電平讀數(shù),讀出CMI碼轉(zhuǎn)換成的PN碼,填入T405譯

47、出碼,完成表7。(8)對(duì)比表7中原始碼與T405譯出碼是否一致。表7原始碼100000000CMI碼0101010101010101T101碼T405碼原始碼211111111CMI碼1100110011001100T101碼T405碼原始碼310101010CMI碼1101000011010001T101碼T405碼原始碼401010101CMI碼0100011101000111T101碼T405碼原始碼511001100CMI碼1100010111000101T101碼T405碼原始碼611101110CMI碼1100110100110001T101碼T405碼原始碼711110000CMI碼1100110001010101T101碼T405碼上表由于,實(shí)驗(yàn)設(shè)備有問題,無法繼續(xù)其他數(shù)據(jù)測(cè)試!五、實(shí)驗(yàn)報(bào)告要求1、記錄

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論