版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領
文檔簡介
1、籃球24秒計時器電子課程設計籃球24秒計時器專業(yè):電子101501姓名:學號:201015020131指導教師:2012年12月籃24秒計時器一設計任務與要求有顯示24秒的計時功能;(2)置外部操作開關,控制計時器的直接清零,起碇和暫停連續(xù)功能;(3)計時器為24秒遞減計時器,其間隔時間為1秒;4)計時器遞減計時到零時,數(shù)碼顯示器不能滅燈,就發(fā)出光電報警信(、總體框圖方案一:利用VHD印言構(gòu)成的24s籃球可控計時器顯示電路CLK24s可控遞減計報警電分頻器時路器SLOADCLRealR方案二:由各個單元模塊構(gòu)成的24s籃球可控計時器脈沖發(fā)24秒計譯碼生器時電路外部控制報警控制電路電路開關從框圖
2、可知:方案一是完全利用VHDLS言編寫各個模塊,然后將所有模塊連接進行仿真及測試;而方案二是利用已有的芯片構(gòu)成相應模塊,后組合連接仿真。方案二在設計中有一定的簡便性且不用編寫繁瑣的程序,因此,以下設計采用方案二。籃球24秒計時器,它包括秒脈沖發(fā)生器、計數(shù)器譯碼顯示、控制電路和報警電路五部分組成。其中計數(shù)器和控制電路是電路的主要部分。計數(shù)器完成24秒計時功能。而控制電路完成電路的直接清零、啟動計數(shù)、暫時/連續(xù)計數(shù);譯碼顯示電路的顯示與亮燈和到時間啟動報警功能。秒脈沖發(fā)生器產(chǎn)生的信號是電路的時鐘脈沖和定時標準。注:(1)由于實驗箱具備提供不同頻率的脈沖,在具體設計時將省略秒脈沖發(fā)生器部分。(2)為
3、了能下載到實驗箱驗證結(jié)果,方案二的譯碼電路部分采用方案一的顯示電路。三、選擇器件(1)同步十進制雙時鐘加減計數(shù)器74LS192(以下簡稱74192)(2)四2輸入與非門74LS00(以下簡稱7400)(3)EP1C12核心板白色按鈕(PB0,PB1)(4)EP1C12核心板貼片二極管(LED3)(5)EP1C12核心板數(shù)碼管(僅兩位)四、功能模塊(1)25進制減法計數(shù)器此部分采用兩個74192構(gòu)成25進制減法計數(shù)器,具備置數(shù)和清零的功能。74192為同步十進制雙時鐘加減計數(shù)器,它具有雙時鐘輸入,并具有清零和置數(shù)功能。以下為74192引腳圖:LDN為置數(shù)端BO時借位數(shù)端74192LDNCON進位
4、輸出端AQABQBCLR;清零端CQCAD置數(shù)并行數(shù)據(jù)輸入DQDDNCONQAQD數(shù)據(jù)輸出UPBONCLRU時力口計數(shù)時鐘端COUNTERinstDN為減計數(shù)時鐘端下表為74192的邏輯功能表輸入輸出CLRLDNDNUPABCDr土異步清零預置數(shù)011加計數(shù)010減計數(shù)由上述74LS192功能表看出,當LDN=1時,CLR=0DN=1時,如果有時鐘脈沖加到CPU®,則計數(shù)器在預置數(shù)的基礎上進行加法計數(shù),當計數(shù)到9(1001),CON端輸出進位下降沿跳變脈沖;當LDN=1CLR=0UP=1時,如果有時鐘脈沖加到DN端,則計數(shù)器在預置數(shù)的基礎上進行減法計數(shù),當計數(shù)到0(0000)時,BO
5、N®輸出借位下降沿跳變脈沖。由此設計出二十五進制減法計數(shù)器,預置數(shù)位N=(00100100)=(24),當?shù)臀挥嫈?shù)器的借位輸出端BON俞出借位脈沖時,高位計數(shù)器才進行減法計數(shù)。當計數(shù)到高低位計數(shù)器都為0時,高位計數(shù)器的借位輸出端BON輸出借位脈沖,使置數(shù)端LDN=0則計數(shù)器完成置數(shù)置零,在DN®輸入脈沖的作用下,進行下一循環(huán)的減法計數(shù)。下圖為25進制減法計數(shù)器(利用74192)本圖是采用將兩片十進制計數(shù)器用聯(lián),后使用整體清零和整體置數(shù)的方法,形成25進制減法計數(shù)器。其仿真圖為:Tl-mi««4iFiUTuhL,:in*i . 9 1 坪,0 HUpl *
6、 ' Ml H3JR . MSM- -jifn,-r.n-inmrtnn. r.- - 7 匚 口 1 rnn Ennndnkq(2)控制模塊控制電路主要是為了實現(xiàn)定時器的啟動、直接清零和暫停/連續(xù)功能,其中在直接清零時,由控制開關控制74192的清零端,從而使顯示器顯示零;通過暫停/連續(xù)開關從而實現(xiàn)斷點定時功能。電路圖如下:通過控制暫停輸入管腳(即左下角的管腳)的電平高低來控制計數(shù)器輸出波形,其工作原理可概括為:當暫停管腳輸入為低電平時,G4(兩2輸入與非門)輸出低電平,將G2(即三輸入與非門)封鎖,計數(shù)器沒有計數(shù)脈沖送入,暫停計數(shù)。當計數(shù)器滿24個脈沖,高位計數(shù)器的BO端輸出低電平
7、,一方面將G2封鎖,另一方面點亮發(fā)光二極管,發(fā)出報警信號其仿真結(jié)果如下從仿真圖可以看出,上面所預期的功能全部實現(xiàn)。(3)譯碼顯示模塊設計此模塊是為了人們能更直觀的觀察到實驗結(jié)果,以驗證自己的猜想。EDA實驗箱的數(shù)碼顯示原理:EP1C12核心板上8個數(shù)碼管,低8位為7位段控制信號加小數(shù)點選取位,高8位為8個數(shù)碼管com端選取,通過發(fā)送不同的總線值來選取數(shù)碼管。驅(qū)動八位數(shù)碼管顯示電路框圖為:3-8線譯碼器時鐘脈沖計數(shù)器數(shù)據(jù)選擇器七段譯碼器以下分段說明各部分功能及連接:1)時鐘脈沖計數(shù)器源程序為:LIBRARYieee;useieee.std_logic_1164.all;useieee.std_l
8、ogic_unsigned.all;ENTITYdivclkISPORT(clk_in:INstd_logic;div_out:OUTstd_logic);ENDdiv_clk;ARCHITECTUREaOFdiv_clkISSIGNALfre_N:integerrange0to100000;SIGNALclk_tmp:std_logic;BEGINdiv_out<=clk_tmp;process(clk_in)beginiffalling_edge(clk_in)theniffre_N>=99999thenfre_N<=0;clk_tmp<=notclk_tmp;el
9、sefre_N<=fre_N+1;endif;endif;endprocess;ENDa;生成模塊為:_=:此模塊主要是實現(xiàn)脈沖計數(shù)的功能。為后面的段位選擇器提供脈沖。(注:上圖中因輸入脈沖頻率太高,呈現(xiàn)出黑色條。實驗箱輸入為50MHz。)2) 數(shù)據(jù)選擇器源程序為:LIBRARYieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;ENTITYseltimeISPORT(clk1,reset:INSTD_LOGIC;num:INSTD_LOGIC_VECTOR(
10、3downto0);numt:instd_logic_vector(3downto0);sel:OUTSTD_LOGIC_VECTOR(2DOWNTO0);daout:OUTSTD_LOGIC_VECTOR(3DOWNTO0);ENDentityseltime;ARCHITECTUREfunOFseltimeISSIGNALcount:STD_LOGIC_VECTOR(2DOWNTO0);BEGINsel<=count;process(clk1,reset)beginif(reset='0')thencount<="000"elsif(clk1&
11、#39;eventandclk1='1')thenif(count>="001")thencount<="000"elsecount<=count+1;endif;endif;casecountiswhen"000"=>daout<=num(3downto0);when"001"=>daout<=numt(3downto0);whenothers=>daout<=null;endcase;endprocess;endfun;生成的模塊為:selt
12、imeclk1sel2.0resetdaout3.0num3.0numt3.0inst該模塊的仿真結(jié)果如下:數(shù)據(jù)選擇模塊seltime如圖示。此模塊輸入信號一個是數(shù)據(jù)選擇器的地址碼sel2.0,另一部分是數(shù)據(jù)信息num3.0和numt3.0。地址碼sel2.0來自時鐘脈沖計數(shù)器,由地址碼sel2.0決定輸出哪個輸入數(shù)據(jù)。輸出信號為daout3.0。3) 3-8線譯碼器源程序:LIBRARYieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;ENTITYdecode3_8ISPORT(SEL:INstd_logic_vec
13、tor(2downto0);Q:OUTstd_logic_vector(7downto0);ENDdecode3_8;ARCHITECTUREaOFdecode3_8ISBEGINQ<="11111110"whensel=0else"11111101"whensel=1else"11111011"whensel=2else"11110111"whensel=3else"11101111"whensel=4else"11011111"whensel=5else"
14、11111111"ENDa;生成的模塊為:該模塊的仿真圖如下3-8線譯碼器模塊DECODE3_8口圖示。DECODE3_8塊的輸入端是A2.0接收時鐘脈沖計數(shù)器模塊的輸出信號,經(jīng)過譯碼后輸出信號Q7.0分別接八個數(shù)碼管的陰極Vss7、Vss6、Vss5、Vss4、Vss3、Vss2、Vss1、Vss0,使對應的數(shù)碼管的陰極為低電平,對應的數(shù)碼管被點亮。要顯示八位數(shù)字,需要八個輸出端,所以做成3-8線譯碼器。4)七段譯碼器源程序為:LIBRARYieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;ENTITYde
15、ledISPORT(num:INSTD_LOGIC_VECTOR(3DOWNTO0);led:OUTSTD_LOGIC_VECTOR(6DOWNTO0);ENDdeled;ARCHITECTUREfunOFdeledISBEGINled<="1111110"whennum="0000"else“0110000"whennum="0001"else“1101101"whennum="0010"else“1111001"whennum="0011"else0110
16、011"whennum="0100"else“1011011"whennum="0101"else“1011111"whennum="0110"else“1110000"whennum="0111"else“1111111"whennum="1000"else“1111011"whennum="1001"else“1110111"whennum="1010"else“0011111&qu
17、ot;whennum="1011"else“1001110"whennum="1100"else“0111101"whennum="1101"else“1001111"whennum="1110"else“1000111"whennum="1111"ENDfun;生成的模塊為:delednum3.0led6.0inst3該模塊的仿真如下圖:七段譯碼器模塊deled如圖示。deled模塊是七段譯碼器,將輸入的4位二進制數(shù)轉(zhuǎn)換為數(shù)碼顯示管所對應的數(shù)字。例如輸入
18、為4位二進制數(shù)0000的時候,使數(shù)碼顯示管顯示0,則要七段譯碼器輸出為0111111,即g段為0,g段發(fā)光二極管不亮,其他發(fā)光二極管被點亮,顯示效果為00deled模塊輸入信號D3.0接到數(shù)據(jù)選擇模塊的輸出信號Q3.0;七段譯碼器輸出信號Q6.0接數(shù)碼管的a,g管腳。最終譯碼顯示部分為:(4)報警模塊OUTPUTspeakerNOTINPUTOUTPUTboLEDVCCinst18實驗箱已具備LED和蜂鳴器,只需分配出對應的管腳即可。此報警模塊是利用高低位計數(shù)器為0時,BO端輸出低電平,再通過反相器得到高電平來使發(fā)光二極管和蜂鳴器工作的。五、總體設計電路圖(1)電路圖及其仿真頂層設計電路圖如下
19、:以下分別為功能仿真圖和時序仿真圖功能仿真圖-*K*八4.7.時序仿真圖由電路圖及其仿真圖可以知道:預置輸入端按下(即低電平)時,顯示器顯示24并開始倒計時,在計時過程中,若想暫停,只需將暫停按鈕置為1即可。倒計到0時,二極管亮同時蜂鳴器發(fā)出警報。在整個過程中,均可按下(通過非門得到低電平)清零按鈕實現(xiàn)清零功能。同時附上管腳圖,說明實驗箱上按鍵的相應功能卜表列出使用的相應按鈕及器件原理圖中的管腳功能實驗箱對應的器件清零PB0白色按鈕預置數(shù)PB1白色按鈕暫停SW0撥碼開關LEDLED3SPEAKER隼鳴器若此表仍不能清楚表達,可以看調(diào)試結(jié)果圖(2)調(diào)試過程根據(jù)仿真的電路在實驗箱上連起來后,給電路接入電源,然后下載程序,看電路的運行結(jié)果(數(shù)碼管顯示),根據(jù)數(shù)碼管的顯示結(jié)果,來推斷電路出現(xiàn)的問題,再通過自查,請教等手段來排除問題。(3)調(diào)試結(jié)果(附照片)7,3:實驗連線:IOCLK連接I
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2024-2025第一學期學校德育工作總結(jié):德育潤心田桃李香滿園
- 新疆博爾塔拉蒙古自治州(2024年-2025年小學六年級語文)統(tǒng)編版小升初模擬(下學期)試卷及答案
- 貴州民族大學《西方文化導讀》2023-2024學年第一學期期末試卷
- Unit 6 In a natural park Part B read and write說課稿-五年級英語上學期(人教PEP版)
- 貴州警察學院《有機化學H》2023-2024學年第一學期期末試卷
- 貴州經(jīng)貿(mào)職業(yè)技術(shù)學院《城鄉(xiāng)綠地系統(tǒng)規(guī)劃》2023-2024學年第一學期期末試卷
- 2023年磨刀機項目建設方案
- 一、校園安全大家談 校園安全搜查線(說課稿)粵教版三年級下冊綜合實踐活動
- 二零二五年度房地產(chǎn)合同智能家居集成服務3篇
- 2023年疾病預防控制及防疫服務項目建設總綱及方案
- 2024年冬季校園清雪合同
- 翻譯美學理論
- 15J403-1-樓梯欄桿欄板(一)
- 中國傳統(tǒng)樂器蕭介紹
- 2024屆華中師范大學新高三第一次聯(lián)考試題
- 小學食堂食品添加劑管理制度
- 職業(yè)技術(shù)學院汽車專業(yè)人才需求調(diào)研報告
- 第3章《物態(tài)變化》大單元教學設計- 2023-2024學年人教版八年級物理上冊
- 領導對述職報告的點評詞
- DL∕T 5210.4-2018 電力建設施工質(zhì)量驗收規(guī)程 第4部分:熱工儀表及控制裝置
- YYT 0661-2017 外科植入物 半結(jié)晶型聚丙交酯聚合物和共聚物樹脂
評論
0/150
提交評論