




版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)
文檔簡介
1、Hefei University課程名稱 在系統(tǒng)編程技術(shù) 任課教師 康南生 設(shè)計題目 數(shù)字鐘 班級 08自動化(3)班 姓名 鮑旭 學(xué)號 0805070284 日期 目錄 TOC o 1-3 h z u HYPERLINK l _Toc296204141 引 言 PAGEREF _Toc296204141 h 3 HYPERLINK l _Toc296204142 課題的背景、目的 PAGEREF _Toc296204142 h 3 HYPERLINK l _Toc296204143 一、題目分析 PAGEREF _Toc296204143 h 4 HYPERLINK l _Toc296204
2、144 1、分析設(shè)計要求 PAGEREF _Toc296204144 h 4 HYPERLINK l _Toc296204145 2、總體方框圖 PAGEREF _Toc296204145 h 4 HYPERLINK l _Toc296204146 3、數(shù)字鐘的工作原理 PAGEREF _Toc296204146 h 4 HYPERLINK l _Toc296204147 二、選擇方案 PAGEREF _Toc296204147 h 6 HYPERLINK l _Toc296204148 1、方案選擇及設(shè)計規(guī)劃 PAGEREF _Toc296204148 h 6 HYPERLINK l _To
3、c296204149 2、系統(tǒng)頂層圖的設(shè)計 PAGEREF _Toc296204149 h 6 HYPERLINK l _Toc296204150 三、時、分、秒計數(shù)器電路 PAGEREF _Toc296204150 h 7 HYPERLINK l _Toc296204151 1、原理 PAGEREF _Toc296204151 h 7 HYPERLINK l _Toc296204152 2、時、分、秒電路部分代碼 PAGEREF _Toc296204152 h 8 HYPERLINK l _Toc296204153 四、編寫應(yīng)用程序并仿真 PAGEREF _Toc296204153 h 11
4、 HYPERLINK l _Toc296204154 1、秒計數(shù)器 PAGEREF _Toc296204154 h 11 HYPERLINK l _Toc296204155 2、分鐘計數(shù)器 PAGEREF _Toc296204155 h 12 HYPERLINK l _Toc296204156 3、小時計數(shù)器 PAGEREF _Toc296204156 h 12 HYPERLINK l _Toc296204157 4、整點報時報警模塊 PAGEREF _Toc296204157 h 12 HYPERLINK l _Toc296204158 五、硬件測試及說明 PAGEREF _Toc29620
5、4158 h 13 HYPERLINK l _Toc296204159 1、數(shù)字時鐘系統(tǒng)采用模式7進行硬件測試 PAGEREF _Toc296204159 h 13 HYPERLINK l _Toc296204160 2、引腳鎖定情況 PAGEREF _Toc296204160 h 13 HYPERLINK l _Toc296204161 3、硬件測試過程與結(jié)果分析 PAGEREF _Toc296204161 h 15 HYPERLINK l _Toc296204162 六、實驗總結(jié) PAGEREF _Toc296204162 h 16 HYPERLINK l _Toc296204163 七、
6、參考文獻目錄 PAGEREF _Toc296204163 h 16引 言隨著社會的發(fā)展,科學(xué)技術(shù)也在不斷的進步。特別是計算機產(chǎn)業(yè),可以說是日新月異,數(shù)字鐘作為計算機的一個組成也隨之逐漸進入人們的生活,從先前的采用半導(dǎo)體技術(shù)實現(xiàn)的數(shù)字鐘到現(xiàn)在廣泛應(yīng)用的采用高集成度芯片實現(xiàn)的數(shù)字鐘。數(shù)字鐘正在向著功能強,體積小,重量輕等方向不斷發(fā)展,本設(shè)計主要介紹的是一個基于超高速硬件描述語言VHDL對數(shù)字鐘中顯示電路進行編程實現(xiàn)。近年來,集成電路和計算機應(yīng)用得到了高速發(fā)展,現(xiàn)代電子設(shè)計技術(shù)已邁入一個嶄新的階段,具體表現(xiàn)在:(1)電子器件及其技術(shù)的發(fā)展將更多地趨向于為EDA服務(wù);(2)硬件電路與軟件設(shè)計過程已高度
7、滲透;(3)電子設(shè)計技術(shù)將歸結(jié)為更加標(biāo)準(zhǔn)、規(guī)范的EDA工具和硬件描述語言VHDL的運用;(4)數(shù)字系統(tǒng)的芯片化實現(xiàn)手段已成主流。因此利用計算機和大規(guī)模復(fù)雜可編程邏輯器件進行現(xiàn)代電子系統(tǒng)設(shè)計已成為電子工程類技術(shù)人員必不可少的基本技能之一。 課題的背景、目的本次設(shè)計的目的就是在掌握EDA實驗開發(fā)系統(tǒng)的初步使用基礎(chǔ)上,了解EDA技術(shù),加深對計算機體系結(jié)構(gòu)的理解。通過學(xué)習(xí)的VHDL語言結(jié)合電子電路的設(shè)計知識理論聯(lián)系實際,掌握所學(xué)的課程知識,學(xué)習(xí)VHDL基本單元電路的綜合設(shè)計應(yīng)用。通過對實用數(shù)字鐘的設(shè)計,鞏固和綜合運用計算機原理的基本理論和方法,理論聯(lián)系實際,提高IC設(shè)計能力,提高分析、解決計算機技術(shù)實
8、際問題的獨立工作能力。通過課程設(shè)計深入理解計算機的組成原理,達到課程設(shè)計的目標(biāo)。 一、題目分析1、分析設(shè)計要求 (數(shù)字時鐘的功能)1)具有時、分、秒計數(shù)顯示功能,以24小時循環(huán)計時。2)具有調(diào)節(jié)小時、分鐘及清零的功能。3)具有整點報時功能。4)時鐘計數(shù)顯示時有LED燈的花樣顯示。2、總體方框圖數(shù)字時鐘小時計數(shù)顯示功能模塊分鐘計數(shù)顯示功能模塊秒鐘計數(shù)顯示功能模塊整點報時功能模塊3、數(shù)字鐘的工作原理 數(shù)字鐘是一個將“ 時”,“分”,“秒”顯示于人的視覺器官的計時裝置。它的計時周期為24小時,顯示滿刻度為23時59分59秒,另外應(yīng)有校時功能和一些顯示星期、報時、停電查看時間等附加功能。因此,一個基本
9、的數(shù)字鐘電路主要由譯碼顯示器、“時”,“分”,“秒”,“星期”計數(shù)器、校時電路、報時電路和振蕩器組成。干電路系統(tǒng)由秒信號發(fā)生器、“時、分、秒、星期”計數(shù)器、譯碼器及顯示器、校時電路、整點報時電路組成。秒信號產(chǎn)生器是整個系統(tǒng)的時基信號,它直接決定計時系統(tǒng)的精度,一般用石英晶體振蕩器加分頻器來實現(xiàn)。將標(biāo)準(zhǔn)秒信號送入“秒計數(shù)器”,“秒計數(shù)器”采用60進制計數(shù)器,每累計60秒發(fā)出一個“分脈沖”信號,該信號將作為“分計數(shù)器”的時鐘脈沖?!胺钟嫈?shù)器”也采用60進制計數(shù)器,每累計60分鐘,發(fā)出一個“時脈沖”信號,該信號將被送到“時計數(shù)器”?!皶r計數(shù)器”采用24進制計時器,可實現(xiàn)對一天24小時的累計。每累計2
10、4小時,發(fā)出一個“星期脈沖”信號,該信號將被送到“星期計數(shù)器”,“星期計數(shù)器” 采用7進制計時器,可實現(xiàn)對一周7天的累計。工作原理圖如圖所示。 數(shù)字鐘的工作原理圖二、選擇方案1、方案選擇及設(shè)計規(guī)劃根據(jù)總體方框圖及各部分分配的功能可知,本系統(tǒng)可以由四個子模塊(即秒計數(shù)器、分鐘計數(shù)器、小時計數(shù)器、整點報時)和一個頂層文件構(gòu)成。采用自頂向下的設(shè)計方法,子模塊利用VHDL語言設(shè)計,頂層文件用原理圖的設(shè)計方法。2、系統(tǒng)頂層圖的設(shè)計系統(tǒng)頂層設(shè)計圖如上所示,由圖知:1)對外端口引腳名稱:輸入:clk,reset,setmin,sethour;輸出:speaker,hour5.0,minute6.0,seco
11、nd6.0,lamp3.0。2)底層文件名稱: 秒計時器(second)是由一個60進制的計數(shù)器構(gòu)成的,具有清0、置數(shù)和計數(shù)功能。其中reset為清0信號,當(dāng)reset為0時,秒計時器清0;clk為系統(tǒng)時鐘信號;setmin是分鐘的設(shè)置信號;enmin為秒計時器的進位信號,作為下一級的輸入信號;daout是秒計數(shù)值。分計時器(minute)是由一個60進制的計數(shù)器構(gòu)成的,具有清0、置數(shù)和計數(shù)功能。其中reset為清0信號,當(dāng)reset為0時,分計時器清0;clk為系統(tǒng)時鐘信號;clk1為設(shè)置時鐘信號;sethour時設(shè)置信號;enhour為分計時器的進位信號,作為下一級的輸入信號。 時計時器(
12、hour)是由一個24進制的計數(shù)器構(gòu)成的,具有清0、置數(shù)和計數(shù)功能。其中reset為清0信號,當(dāng)reset為0時,時計時器清0;clk為時計數(shù)時鐘信號;daout為時計數(shù)值。報時模塊(alarm)的功能是整點時,報時持續(xù)1分鐘,dain為分計數(shù)值;speak為蜂鳴器報警;lamp為花樣顯示燈。3) 實驗連線:reset、setmin、hour連接撥動開關(guān);計數(shù)時鐘信號clk接1HZ/4HZ時鐘信號;speak與揚聲器驅(qū)動speaker相連;lamp與三個發(fā)光二極管相連;hour5.0、minute6.0、second6.0分別與七段LED數(shù)碼管相連。三、時、分、秒計數(shù)器電路1、原理時、分、秒計
13、數(shù)器電路有相似的地方,用兩個74LS161組成一個二十四進制計數(shù)器,顯示023時。由分計數(shù)器送來的進位脈沖送入時個位計數(shù)器,計10小時清零并向時十位計數(shù)器送進位脈沖,當(dāng)十位輸出為二,個位輸出為四時將整個電路清零并向下一級的星期顯示電路送進位脈沖。本電路也可理解為用兩個74LS161組成一個一百進制計數(shù)器顯示099,當(dāng)計數(shù)到24是將整個電路清零。時、分、秒計數(shù)器都需要用譯碼電路和LED數(shù)碼管進行譯碼和顯示。時、分、秒計數(shù)器電路如圖所示。時、分、秒計數(shù)器電路 2、時、分、秒電路部分代碼library ieee; use ieee.std_logic_1164.all; use ieee.std_l
14、ogic_unsigned.all; entity second is port(clk:in std_logic; reset:in std_logic; setmin:in std_logic; enmin:out std_logic; daout:out std_logic_vector(6 downto 0); end entity second; architecture art of second is signal count:std_logic_vector(6 downto 0); signal enmin_1,enmin_2:std_logic;begindaout=cou
15、nt;enmin_2=(setmin and clk);enmin=(enmin_1 or enmin_2);process(clk,reset,setmin)begin if(reset=0)then count=0000000;enmin_1=0;elsif(clkevent and clk=1)then if(count(3 downto 0)=1001) then if(count16#60#)then if(count=101101)then enmin_1=1;count=0000000; else count=count+7; end if; else count=0000000
16、;end if; elsif (count16#60#)then count=count+1; enmin_1=0; else count=0000000;enmin_1=0; end if; end if;end process;end art; 數(shù)字鐘控制電路顯示電路小時分鐘秒鐘調(diào)分24進制計數(shù)60進制計數(shù)60進制計數(shù)調(diào)時整點報時四、編寫應(yīng)用程序并仿真1、秒計數(shù)器(文件名:second.vhd)1)VHDL語言描述程序見附錄2)秒計數(shù)器的仿真波形圖3)波形分析由仿真波形圖知秒計數(shù)模塊功能是利用60進制計數(shù)器完成00到59的循環(huán)計數(shù)功能,當(dāng)秒計數(shù)至59時,再來一個時鐘脈沖則產(chǎn)生進位輸出,即e
17、nmin=1;reset作為復(fù)位信號低電平有效,即高電平時正常循環(huán)計數(shù),低電平清零。2、分鐘計數(shù)器(文件名:minute.vhd)1)VHDL語言描述程序見附錄2)分鐘計數(shù)器的仿真波形圖3)波形分析由波形圖可知該模塊實現(xiàn)了分鐘計數(shù)的功能,調(diào)時信號sethour高電平有效。計數(shù)循環(huán)從00到59.3、小時計數(shù)器(文件名:hour.vhd)1)VHDL語言描述程序見附錄2)小時計數(shù)器的仿真波形圖3)波形分析小時計數(shù)模塊利用24進制計數(shù)器,通過分鐘的進位信號的輸入可實現(xiàn)從00到23的循環(huán)計數(shù)。4、整點報時報警模塊(文件名:alert.vhd) 1)VHDL語言描述程序見附錄2)整點報時模塊仿真波形圖3
18、)波形分析由圖知對于整點報時模塊,當(dāng)分鐘計數(shù)至59時來一個時鐘脈沖則產(chǎn)生一個進位信號,分鐘計數(shù)到00,此時產(chǎn)生報警信號持續(xù)一分鐘。當(dāng)有時鐘脈沖時lamp顯示燈就閃爍輪續(xù)點亮。五、硬件測試及說明1、數(shù)字時鐘系統(tǒng)采用模式7進行硬件測試2、引腳鎖定情況信號名引腳號按鍵及顯示clkPIN_93內(nèi)部時鐘信號clock0 1HZhour0PIN_73LED8hour 1PIN_74hour 2PIN_75hour 3PIN_76hour 4PIN_77LED7hour 5PIN_78min0PIN_51LED5min 1PIN_52min 2PIN_67min 3PIN_68min 4PIN_69LED4
19、min 5PIN_70min 6PIN_71second0PIN_39LED2second 1PIN_40second 2PIN_41second 3PIN_42second 4PIN_47LED1second 5PIN_48second 6PIN_49lamp0PIN_103D6lamp1PIN_105D7lamp3PIN_106D8sethourPIN_7鍵7setmin PIN_4鍵4speakerPIN_129蜂鳴器SPEAKERresetPIN_10鍵83、硬件測試過程與結(jié)果分析1)硬件測試:實驗硬件 GW48實驗系統(tǒng) cyclone EP1C3T144C8測試模式 NO.7測試方式
20、clock選用clock0,短路帽選4HZ; 鍵8控制reset,鍵7控制sethour,鍵4控制setminute, D8、D7、D6顯示花樣燈lamp; 數(shù)碼管8、7用作小時顯示,高位是小時的十位,低位是小時的個位; 數(shù)碼管5、4用作分鐘顯示,高位是分鐘的十位,低位是分鐘的個位; 數(shù)碼管2、1用作秒鐘顯示,高位是秒鐘的十位,低位是秒鐘的個位;測試過程 (燈亮為高電平,燈滅為低電平) reset低電平清零復(fù)位,按鍵8使燈亮則時鐘開始從00-00-00計時,秒鐘計時到59時向分鐘進1,分鐘計時到59時向小時進1; 鍵7用做調(diào)小時每來一個脈沖調(diào)一次調(diào)節(jié)范圍00-23; 鍵4用做調(diào)分鐘每來一個脈沖調(diào)一次調(diào)節(jié)范圍0059; lamp燈顯的三個燈輪回亮; 當(dāng)時鐘為00則蜂鳴器開始整點報時一分鐘。2)結(jié)果分析:由測試過程及結(jié)果可知所設(shè)計的數(shù)
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025企業(yè)購車借款合同模板
- 009、基礎(chǔ)鋼筋施工方案
- 預(yù)算執(zhí)行監(jiān)督制度計劃
- 2024年12月物流行業(yè)合同臺賬異常事件響應(yīng)流程
- 民宿安全管理與客戶保障方案計劃
- 吸收行業(yè)知識的閱讀計劃
- 2025年聚L-乳酸項目建議書
- 降低企業(yè)財務(wù)成本的策略計劃
- 職業(yè)培訓(xùn)的課程開發(fā)與安排計劃
- 數(shù)字遺產(chǎn)保護與圖書館的角色計劃
- GB/T 23132-2024電動剃須刀
- DL∕T 5767-2018 電網(wǎng)技術(shù)改造工程工程量清單計價規(guī)范
- DL∕T 2553-2022 電力接地系統(tǒng)土壤電阻率、接地阻抗和地表電位測量技術(shù)導(dǎo)則
- 01J925-1壓型鋼板、夾芯板屋面及墻體建筑構(gòu)造
- 公司搬遷方案(3篇)
- 飛控系統(tǒng)講解
- 五年級勞動課件收納
- 政策評估的理論、模型與方法
- (正式版)YBT 6328-2024 冶金工業(yè)建構(gòu)筑物安全運維技術(shù)規(guī)范
- 《紙質(zhì)文物修復(fù)與保護》課件-11書畫的裝裱品式
- 2022年袋鼠數(shù)學(xué)競賽真題一二年級組含答案
評論
0/150
提交評論