版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)
文檔簡介
1、數(shù)據(jù)選擇器MUX41A四選一library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mux41a isport(EN,A1,A0,D3,D2,D1,D0:in std_logic;Y:out std_logic);end mux41a;architecture one of mux41a issignal A:std_logic_vector(2 downto 0);beginA<=EN&A1&A0;Y<=D0 WHEN A="000"else
2、D1 WHEN A="001"ELSED2 WHEN A="010"ELSED3;END ONE;MUX61A六選一library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mux61a isport(D0,D1,D2,D3,D4,D5:in std_logic;A:std_logic_vector(2 downto 0);Y:out std_logic);end mux61a;architecture AA of mux61a isbeginY<
3、=D0 WHEN A="000" ELSED1 WHEN A="001" ELSED2 WHEN A="010" ELSED3 WHEN A="011" ELSED4 WHEN A="100" ELSED5 WHEN A="101" ELSE'Z'end AA;MUX441A四位四選一library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mux441a i
4、sport(A:in std_logic_vector(2 downto 0);D3,D2,D1,D0:in std_logic_vector(3 downto 0);Y:out std_logic_vector(3 downto 0);end mux441a;ARCHITECTURE ONE OF MUX441A ISBEGINY<=D0 WHEN A="000"ELSED1 WHEN A="001"ELSED2 WHEN A="010"ELSED3;END ONE; 譯碼器DEC38A 3-8譯碼器library ieee;
5、use ieee.std_logic_1164.all;entity DEC38A isport( A2,A1,A0:in std_logic; S1,S2,S3:in std_logic; Y:out std_logic_vector(7 downto 0);end entity DEC38A;architecture one of DEC38A issignal S:std_logic_vector(5 downto 0);beginS<=S1&S2&S3&A2&A1&A0;with S selectY<="11111110&q
6、uot; when "100000", "11111101" when "100001", "11111011" when "100010", "11110111" when "100011", "11101111" when "100100", "11011111" when "100101", "10111111" when "100110&q
7、uot;, "01111111" when "100111", "11111111" when others;end one;DEC_DISPLAY 共陰極數(shù)碼管library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity DEC_DISPLAY ISport(A:in std_logic_vector(3 downto 0);EN:IN STD_LOGIC;Ya,Yb,Yc,Yd,Ye,Yf,Yg:OUT STD_LOGIC);end
8、dec_display;architecture one of dec_display issignal s:std_logic_vector(4 downto 0);signal Y:std_logic_vector(6 downto 0);beginS<=EN&A;Ya<=Y(6);Yb<=Y(5);Yc<=Y(4);Yd<=Y(3);Ye<=Y(2);Yf<=Y(1);Yg<=Y(0);with s selectY<="1111110"when"10000", "0110000
9、"when"10001", "1101101"when"10010", "1111001"when"10011", "0110011"when"10100", "1011011"when"10101", "1011111"when"10110", "1110000"when"10111", "1111111"
10、when"11000", "1111011"when"11001", "1000000"when"11010", "0100000"when"11011", "0010000"when"11100", "0001000"when"11101", "0000100"when"11110", "0000010"when&q
11、uot;11111", "0000000"when others; end architecture one;ENC83A 8-3線普通二進制編碼器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity enc83a ISport(I7,I6,I5,I4,I3,I2,I1,I0:IN STD_LOGIC; Y2,Y1,Y0:OUT STD_LOGIC);END ENC83A; ARCHITECTURE ONE OF ENC83A IS SIGNAL S:STD_
12、LOGIC_VECTOR(7 DOWNTO 0); SIGNAL Y:STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN s<=I7&I6&I5&I4&I3&I2&I1&I0; Y2<=Y(2); Y1<=Y(1); Y0<=Y(0); WITH S SELECT Y<="000" WHEN "11111110", "001" WHEN "11111101", "010" WHEN &quo
13、t;11111011", "011" WHEN "11110111", "100" WHEN "11101111", "101" WHEN "11011111", "110" WHEN "10111111", "111" WHEN "01111111", "000" WHEN OTHERS; END ARCHITECTURE; 觸發(fā)器DFF2A D觸發(fā)器library
14、 ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dff2a isport (CLK:in std_logic; D:in std_logic; RESET,SET:in std_logic; Q,QB:out std_logic);end entity dff2a;architecture one of dff2a issignal Q1:std_logic;begin po:process(CLK,RESET,SET)begin if(CLK'event and CLK='1
15、39;)then if(RESET='0') then Q1<='0' elsif(SET='0') then Q1<='1'else Q1<=D; end if;end if;end process;Q<=Q1;QB<=NOT Q1;end architecture one; JK1A JK觸發(fā)器LIBRARY IEEE;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity JK1A isport(SET,RESET,C
16、LK,J,K:IN STD_LOGIC;Q,QB:OUT STD_LOGIC);END JK1A;ARCHITECTURE ONE OF JK1A ISSIGNAL Q1:STD_LOGIC;SIGNAL S:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGIN PROCESS(CLK,RESET,SET,S)ISBEGINS<=J&K;IF(SET='0') THEN Q1<='1'ELSIF(RESET='0') THEN Q1<='0'ELSIF(CLK'EVENT AND
17、CLK='1')THENCASE S ISWHEN "00"=>Q1<=Q1;WHEN "10"=>Q1<='1'WHEN "01"=>Q1<='0'WHEN "11"=>Q1<=NOT Q1;WHEN OTHERS=>NULL;END CASE;END IF;END PROCESS;Q<=Q1;QB<=NOT Q1;END ARCHITECTURE ONE; 計數(shù)器CNT74161 74161芯片LI
18、BRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT74161 ISPORT(CLK,LDN,D3,D2,D1,D0,CLRN,ENT,ENP:IN STD_LOGIC; RCO,Q3,Q2,Q1,Q0:OUT STD_LOGIC); END CNT74161; ARCHITECTURE ONE OF CNT74161 IS SIGNAL D,Q: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL EN: STD_LOGIC_VECTOR(1 DOWNTO 0)
19、; BEGIND<=D3&D2&D1&D0;EN<=ENT&ENP;Q3<=Q(3);Q2<=Q(2);Q1<=Q(1);Q0<=Q(0);PROCESS(CLK,EN,LDN,CLRN,Q)BEGINIF(EN="11")THENIF(CLK'EVENT AND CLK='1')THENIF(LDN='0')THEN Q<=D;ELSE Q<=Q+1;END IF;end if;ELSIF(CLRN='0')THEN Q<=&quo
20、t;0000"ELSE Q<=Q;END IF;IF(Q="1111")THEN RCO <='1'ELSE RCO <='0'END IF;END PROCESS;END ARCHITECTURE ONE;CNT120 模120的7位二進制計數(shù)器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT120 ISPORT(clk:in std_logic;Q:OUT STD_LOGIC_VECTOR(6
21、DOWNTO 0);END CNT120;ARCHITECTURE ONE OF CNT120 IS SIGNAL Q1:STD_LOGIC_VECTOR(6 DOWNTO 0);BEGINPROCESS(CLK)BEGINIF CLK'EVENT AND CLK='1'THENIF(Q1=119)THEN Q1<="0000000"ELSE Q1<=Q1+1;END IF;end if;end process;Q<=Q1;end architecture one;BCD365 模365的BCD碼計數(shù)器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity B
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 地方政府反腐倡廉專項監(jiān)督方案
- 汽車按揭貸款合同的利息計算
- 骨科疾病應(yīng)急
- 水資源開發(fā)利用合同
- 邢臺學(xué)院《籃球》2022-2023學(xué)年第一學(xué)期期末試卷
- 邢臺學(xué)院《中小學(xué)課余體育訓(xùn)練理論與方法》2022-2023學(xué)年第一學(xué)期期末試卷
- 大型項目費用預(yù)算與管理方案
- 外貿(mào)英語函電教程 第二版 課件Unit 3 Counter-offers;Unit 4 Negotiating Payment Terms
- 吉林省延邊朝鮮族自治州(2024年-2025年小學(xué)五年級語文)人教版綜合練習(xí)(上學(xué)期)試卷及答案
- 高頻數(shù)據(jù)掃描:前期政策效果逐步顯現(xiàn)
- 《微電影制作教程》第五章
- GRR計算公式表格
- 梅毒診斷標(biāo)準(zhǔn)
- 2023年catti三級筆譯綜合能力考試試題及答案解析
- 密封條格式大全
- 幸運的內(nèi)德(一年級繪本閱讀)課件
- 急性缺血性腦卒中急診急救中國專家共識
- Python語言基礎(chǔ)與應(yīng)用學(xué)習(xí)通超星課后章節(jié)答案期末考試題庫2023年
- 商業(yè)空間設(shè)計-課件
- 六年級上冊英語說課稿- Module 6 Unit 2 I've got a stamp from China. -外研社(三起)
- 住宅室內(nèi)裝飾裝修管理辦法
評論
0/150
提交評論