




下載本文檔
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、哈爾濱工業(yè)大學課程設計結(jié)題報告Har b in I nstitu te of Technology課程設計結(jié)題報告課程名稱:設計題目:院系:班級:設計者:洪小沯學號:指導教師:設計時間:哈爾濱工業(yè)大學年月日哈爾濱工業(yè)大學課程設計結(jié)題報告通用通信信號源設計摘要 信號發(fā)生器又稱信號源或振蕩器,在生產(chǎn)實踐和科技領(lǐng)域中有著廣泛的應用。它能夠產(chǎn)生多種波形,如三角波、鋸齒波、方波、正弦波等,在電路實驗和設備檢測中具有十分廣泛的用途。本設計采用 FPGA 來設計制作多功能信號發(fā)生器。該信號發(fā)生器可以產(chǎn)生鋸齒波、三角波、方波等波形。關(guān)鍵詞 信號發(fā)生器; Quartus II; Matlab ;一 . 緒論信號
2、發(fā)生器亦稱函數(shù)發(fā)生器,作為實驗用信號源,是現(xiàn)今各種電子電路實驗設計應用中必不可少的儀器設備之一。 目前, 市場上常見的波形發(fā)生器多為純硬件的搭接而成,且波形種類有限,多為鋸齒、 正弦、方波、三角等波形。70 年代前,信號發(fā)生器主要有兩類:正弦波和脈沖波。產(chǎn)生其它波形時,需要采用較復雜的電路和機電結(jié)合的方法。這個時期的波形發(fā)生器多采用模擬電子技術(shù),電路尺寸大、價格貴、功耗大,復雜信號其波形電路結(jié)構(gòu)非常復雜。后來,微處理器出現(xiàn),波形發(fā)生器多以軟件為主,實質(zhì)是采用微處理器對DAC的程序控制以得到各種簡單的波形。90 年代以來, 隨著基礎(chǔ)電路制造工藝的逐步提高, 通過采用先進的工藝和低功耗的設計,DD
3、S 的工作速度有了很大的提高并廣泛應用到各種信號發(fā)生器中。其中以AD公司的產(chǎn)品如AD7008, AD9850, AD9851,AD9858等比較具有代表性。其系統(tǒng)時鐘頻率從30MHz到 1GHz不等。這些芯片還具有調(diào)制功能,如 AD7008可以產(chǎn)生正交調(diào)制信號,AD9852 也可以用來產(chǎn)生PSK, FSK,線性調(diào)頻以及幅度調(diào)頻的信號。芯片內(nèi)部大都采用了流水技術(shù)的優(yōu)化設計,提高了相位累加器的工作頻率,進一步提高了DDS芯片的輸出頻率。運用 DDS技術(shù)生產(chǎn)的DDS任意波形信號發(fā)生器是較新的一類信號源并已經(jīng)廣泛投入使用。它不僅能產(chǎn)生傳統(tǒng)信號發(fā)生器能產(chǎn)生的正弦波,方波,三角波,鋸齒波等,還能夠產(chǎn)生任意
4、編輯的波形。由于DDS的自身特點,還可以很容易產(chǎn)生一些數(shù)字調(diào)制信號如PSK,F(xiàn)SK 等,一些高端的信號發(fā)生器甚至能夠產(chǎn)生通信信號。 信號發(fā)生器輸出波形的頻率分辨率和頻率精度等指標也有了很大提高?;?DDS設計的信號發(fā)生器將是未來信號發(fā)生器設計的主流。近幾年,國際上波形發(fā)生器技術(shù)發(fā)展主要體現(xiàn)在以下幾個方面:A. 過去由于頻率很低應用的范圍比較狹小,輸出波形頻率的提高,使得波形發(fā)生器能應用于越來越廣的領(lǐng)域。波形發(fā)生器軟件的開發(fā)正使波形數(shù)據(jù)的輸入變得更加方便和容易。B. 由于 VXI 總線的逐漸成熟和對測量儀器的高要求,在很多領(lǐng)域需要使用VXI 系統(tǒng)測量產(chǎn)生復雜的波哈爾濱工業(yè)大學課程設計結(jié)題報告形
5、, VXI 的系統(tǒng)資源提供了明顯的優(yōu)越性。但VXI 模塊開發(fā)周期長,需要專門VXI 機箱的配套使用,因此波形發(fā)生器VXI 模塊僅限于航空、軍事及國防等大型領(lǐng)域。C. 隨著信息技術(shù)蓬勃發(fā)展,臺式儀器又重新繁榮起來。新一代臺式儀器具有多種特性,可以執(zhí)行多種功能。而且外形尺寸與價格,都比過去的類似產(chǎn)品減少了一半。二 .四種基本波形1.1模塊建立基本波形模塊的核心是ROM表, ROM表內(nèi)部存儲了每個相位經(jīng)過計算后相應的幅度值,即把計算得到的幅度值存儲成“.mif ”格式的文件,再調(diào)用QuartusII提供的 ROM塊,經(jīng)過設置就可以設計所需要的ROM。1.2原理圖1.3頂層文件library ieee
6、;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity signal isport (clk,reset: in std_logic;sel: in std_logic_vector(1 downto 0);q:out std_logic_vector(7 downto 0);end entity;architecture signal_arc of signal iscomponent sin isport (clk,reset: in std_logic;q0: out std_logic_vector(7 d
7、ownto 0);end component;component stw isport (clk,reset: in std_logic;q1: out std_logic_vector(7 downto 0);哈爾濱工業(yè)大學課程設計結(jié)題報告end component;0);component tri isq:out std_logic_vector(7 downto 0) );port ( clk,reset: in std_logic;end component;q2: out std_logic_vector(7 downto 0);signal y0,y1,y2,y3:std_logi
8、c_vector(7 downto 0);end component;begincomponent qua isu0: sin port map(clk=>clk,reset=>reset,q0=>y0);port (clk,clr: in std_logic;u1: stw port map(clk=>clk,reset=>reset,q1=>y1);q3: out std_logic_vector(7 downto 0);u2: tri port map(clk=>clk,reset=>reset,q2=>y2);end compone
9、nt;u3: qua port map(clk=>clk,clr=>reset,q3=>y3);componentchoose isu4:chooseportport (sel: in std_logic_vector(1 downto 0);map(sel=>sel,d0=>y0,d1=>y1,d2=>y2,d3=>y3,q=>q);d0,d1,d2,d3: instd_logic_vector(7 downtoend signal_arc;2.1正弦波程序 :LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.AL
10、L;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SINGT ISPORT ( CLK: IN STD_LOGIC;DOUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END;ARCHITECTURE DACC OF SINGT ISCOMPONENT data_romPORT(address:INSTD_LOGIC_VECTOR(5DOWNTO 0);inclock :IN STD_LOGIC ;q:OUTSTD_LOGIC_VECTOR(7END COMPONENT;SIGNALQ1:STD_LOGIC_VECTOR(5DOWNT
11、O 0);BEGINPROCESS(CLK)BEGINIF CLK'EVENT AND CLK = '1' THEN Q1<=Q1+1;END IF;END PROCESS;u1:data_romPORTMAP(address=>Q1,q=>DOUT,inclock=>CLK);END;DOWNTO 0);哈爾濱工業(yè)大學課程設計結(jié)題報告2.2Quartus II 正弦波仿真波形3.1鋸齒波程序 :LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY altera_mf;USE altera_mf.all
12、;ENTITY stw ISPORT(address: IN STD_LOGIC_VECTOR (8 DOWNTO 0);clock: IN STD_LOGIC:= '1'q: OUT STD_LOGIC_VECTOR (9 DOWNTO 0);END stw;ARCHITECTURE SYN OF stw ISSIGNALsub_wire0:STD_LOGIC_VECTOR(9DOWNTO 0);COMPONENT altsyncramGENERIC (address_aclr_a: STRING;clock_enable_input_a: STRING;clock_enab
13、le_output_a: STRING;init_file: STRING;intended_device_family: STRING;lpm_hint: STRING;lpm_type: STRING;numwords_a: NATURAL;operation_mode: STRING;outdata_aclr_a: STRING;outdata_reg_a: STRING;widthad_a: NATURAL;width_a: NATURAL;width_byteena_a: NATURAL );PORT (address_a:IND_LOGIC_VECTOR(8 DOWNTO 0);c
14、lock0: IN STD_LOGIC ; q _a:OUT STD_LOGIC_VECTOR (9 DOWNTO 0);END COMPONENT;BEGINq <= sub_wire0(9 DOWNTO 0); altsyncram_component : altsyncramGENERIC MAP (address_aclr_a => "NONE",clock_enable_input_a => "BYPASS",clock_enable_output_a => "BYPASS",init_file =&
15、gt; "stw.hex",intended_device_family => "Cyclone III",lpm_hint=>"ENABLE_RUNTIME_MOD=NO",lpm_type => "altsyncram",numwords_a => 512,operation_mode => "ROM",outdata_aclr_a => "NONE",outdata_reg_a => "UNREGISTERED&quo
16、t;,哈爾濱工業(yè)大學課程設計結(jié)題報告widthad_a => 9,clock0 => clock,width_a => 10,q_a => sub_wire0 );width_byteena_a => 1)END SYN;PORT MAP (address_a => address,3.2Quartus II 鋸齒波仿真波形4.1三角波程序:library ieee;if tmp2="11111110" thenuse ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;enti
17、ty tri isport (clk,reset: in std_logic;q2: out std_logic_vector(7 downto 0);end entity;architecture tri_arc of tri issignal m2:integer range 0 to 7;signal tmp2:std_logic_vector(7 downto 0);beginprocess(clk,reset)variablea: std_logic:='0'beginif reset='0' thenm2<=0;elsif clk'ev
18、ent and clk='1' thenif m2=7 then m2<=0;if a='0' thentmp2<="11111111"-向上的鋸齒波a:='1'else tmp2<=tmp2+1;end if;elseif tmp2="00000001" thentmp2<="00000000"a:='0'elsetmp2<=tmp2-1;end if;end if;else m2<=m2+1;end if;end if;q2<
19、=tmp2;end process;end tri_arc;哈爾濱工業(yè)大學課程設計結(jié)題報告4.2Quartus II 三角波仿真波形:5.1方波程序 :library ieee;elseuse ieee.std_logic_1164.all;cnt:=0;use ieee.std_logic_unsigned.all;t<=not t;entity qua isend if;port(clk,clr: in std_logic;end if;q3: out std_logic_vector(7 downto 0);end process;end entity;process(clk,t)
20、architecture qua_arc of qua isbeginsignal t: bit;if clk'event and clk='1' thenbeginif t='1' thenprocess(clk,clr)q3<="11111111"variablecnt: integer range 0 to 63;elsebeginq3<="00000000"if clr='0' thenend if;t<='0'end if;elsif clk'ev
21、ent and clk='1' thenend process;if cnt<63 then cnt:=cnt+1;end qua_arc;5.2Quartus II 方波仿真波形:哈爾濱工業(yè)大學課程設計結(jié)題報告三 . 調(diào)制波形的 Matlab 仿真1.1AM 調(diào)制波形AM調(diào)制信號的時域表達式可以表示為:SAM (t ) m0m '(t )cos(ct ) 。由此可以將一個周期的cos( ct ) 和幅度都進行一定量化,由存儲四種基本波形的ROM 提供載波信號和基帶信號。1.2Matlab 下 AM 調(diào)制仿真波形AM調(diào)制信號及其包絡43210-1-2-3-400.
22、511.522.533.544.55t2.1FM 調(diào)制波形FM調(diào)制信號的時域表達式表示為:tnTamTsSFM (t) cos cK FMx()d cos c ( nTamTs ) K FMx( )d 00cos 2nl m 1nl m 122NK (nlm)KFMx( pT )T cosNKK FM Ts x( pTs ) 2Ns sp 022p 0其中,l Ta / Ts, K 是頻率控制字,K FM是調(diào)制指數(shù),K02N KT / 2FM s2.2Matlab 下 FM 調(diào)制仿真波形哈爾濱工業(yè)大學課程設計結(jié)題報告10.5度0幅-0.5-100.050.10.150.20.250.3FM調(diào)制
23、波形3.1ASK 調(diào)制波形SASK (t) S(t) cos( ct )an g(tnTs )cos( c t)0 的概二進制 ASK時域正交表達式為:n,其中 an率是 P, an1的概率是 1 P3.2Matlab 下 ASK 調(diào)制仿真波形NRZ 碼10.50-0.5-10510152025303540452ASK調(diào)制波形10.50-0.5-10510152025303540454.1FSK 調(diào)制波形SFSK ( t)an g (tnTs )cos( 1t n )n二進制 FSK 調(diào)制波形的時域正交表達式:an g( tnTs )cos(2 tn )n4.2Matlab 下 FSK 調(diào)制仿真波形哈爾濱工業(yè)大學課程設計結(jié)題報告NRZ 碼10-10510152025303540451碼的調(diào)制波形10-10510152025303540450碼的調(diào)制波形10-10510152025303540452FSK波 形10-10510152025303540455.1PSK 調(diào)制波形PSK調(diào)制波形時域正交表達式
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 安全試題分類標準及答案
- 安全生產(chǎn)模擬試題及答案
- 安全考核試題及答案
- 2025年工業(yè)領(lǐng)域CCS技術(shù)應用案例深度解讀報告
- 《編制說明-公安交通集成指揮平臺數(shù)據(jù)共享技術(shù)規(guī)范》
- 中國動畫課件下載網(wǎng)
- 淤血肝超聲診斷
- 肝硬化患者的飲食護理
- 春節(jié)學生安全教育
- 紅色教育基地分享
- 變電站-配電房掛軌巡檢機器人技術(shù)方案
- 【高校環(huán)藝】室內(nèi)外手繪效果圖表現(xiàn)教案
- DB50-T 548.4-2024城市道路交通管理設施設置規(guī)范第4部分:道路交通安全設施
- 項目股份買斷合同范本
- 青島版數(shù)學八年級下冊-《平方根》教學課件
- 校園文印店經(jīng)營方案
- 2024屆重慶市沙坪壩區(qū)英語八年級第二學期期末監(jiān)測試題含答案
- 2023北京西城區(qū)高二下學期期末英語試題及答案
- 實現(xiàn)馬克思主義中國化時代化新的飛躍
- 2024網(wǎng)站滲透測試報告
- 夏令營教官管理手冊
評論
0/150
提交評論