版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
EDA技術實用教程第12章系統(tǒng)仿真12.1仿真
仿真也稱模擬(Simulation)是對電路設計的一種間接的檢測方法,是利用計算機對整個硬件系統(tǒng)進行模擬檢測,但卻可以不接觸具體的硬件系統(tǒng)。
12.2VHDL源程序仿真
圖12-1VHDL仿真流程
12.2VHDL源程序仿真
【例12-1】LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYand1ISPORT(aaa,bbb:INSTD_LOGIC;ccc:OUTSTD_LOGIC);ENDand1;ARCHITECTUREoneOFand1ISBEGINccc<=aaaANDbbb;END;
12.2VHDL源程序仿真
【例12-2】LIBRARYIEEE;USEIEEE.std_logic_1164.all;ENTITYTRIBUF_and1ISGENERIC(ttri:TIME:=1ns;ttxz:TIME:=1ns;ttzx:TIME:=1ns);PORT(in1:INstd_logic;oe:INstd_logic;y:OUTstd_logic);ENDTRIBUF_and1;ARCHITECTUREbehaviorOFTRIBUF_and1ISBEGINPROCESS(in1,oe)BEGINIFoe‘EVENTTHEN(接下頁)IFoe='0'THENy<=TRANSPORT'Z'AFTERttxz;ELSIFoe='1'THENy<=TRANSPORTin1AFTERttzx;ENDIF;ELSIFoe='1'THENy<=TRANSPORTin1AFTERttri;ELSIFoe='0'THENy<=TRANSPORT'Z'AFTERttxz;ENDIF;ENDPROCESS;ENDbehavior;LIBRARYIEEE;USEIEEE.std_logic_1164.all;USEwork.tribuf_and1;ENTITYand1ISPORT(aaa:INstd_logic;bbb:INstd_logic;ccc:OUTstd_logic);ENDand1;ARCHITECTUREEPF10K10LC84_a3OFand1IS......ENDEPF10K10LC84_a3;
12.3仿真激勵信號的產生
【例12-3】LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYADDER4ISPORT(a,b:ININTEGERRANGE0TO15;c:OUTINTEGERRANGE0TO15);ENDADDER4;ARCHITECTUREoneOFADDER4ISBEGINc<=a+b;ENDone;
第一種方法:12.3仿真激勵信號的產生
【例12-4】ENTITYSIGGENISPORT(sig1:OUTINTEGERRANGE0TO15;sig2:OUTINTEGERRANGE0TO15);END;ARCHITECTURESimOFSIGGENISBEGINsig1<=10,5AFTER200ns,8AFTER400ns;sig2<=3,4AFTER100ns,6AFTER300ns;END;
12.3仿真激勵信號的產生
圖12-2SIGGEN的仿真輸出波形
12.3仿真激勵信號的產生
【例12-5】ENTITYBENCHISEND;ARCHITECTUREoneOFBENCHISCOMPONENTADDER4PORT(a,b:integerrange0to15;c:OUTINTEGERRANGE0TO15);ENDCOMPONENT;COMPONENTSIGGENPORT(sig1:OUTINTEGERRANGE0TO15;sig2:OUTINTEGERRANGE0TO15);ENDCOMPONENT;SIGNALa,b,c:INTEGERRANGE0TO15;BEGINU1:ADDER4PORTMAP(a,b,c);U2:SIGGENPORTMAP(sig1=>a,sig2=>b);END;
12.3仿真激勵信號的產生
圖12-3BENCH仿真波形圖
12.3仿真激勵信號的產生
force<信號名><值>[<時間>][,<值><時間>…][-repeat<周期>]
第二種方法:forcea0 (強制信號的當前值為0)forceb00,110(強制信號b在時刻0的值為0,在時刻10的值為1)forceclk00,115–repeat20 (clk為周期信號,周期為20
forcea100,5200,8400forceb30,4100,6300
12.4VHDL測試基準
【例12-6】LibraryIEEE;useIEEE.std_logic_1164.all;entitycounter8isport(CLK,CE,LOAD,DIR,RESET:inSTD_LOGIC;DIN:inINTEGERrange0to255;COUNT:outINTEGERrange0to255);endcounter8;architecturecounter8_archofcounter8isbeginprocess(CLK,RESET)variableCOUNTER:INTEGERrange0to255;beginifRESET='1'thenCOUNTER:=0;elsifCLK='1'andCLK'eventthenifLOAD='1'thenCOUNTER:=DIN;(接下頁)ElseifCE='1'thenifDIR='1'thenifCOUNTER=255thenCOUNTER:=0;ElseCOUNTER:=COUNTER+1;endif;elseifCOUNTER=0thenCOUNTER:=255;ElseCOUNTER:=COUNTER-1;endif;endif;endif;endif;endif;COUNT<=COUNTER;endprocess;endcounter8_arch;
12.4VHDL測試基準
【例12-7】Entitytestbenchisendtestbench;Architecturetestbench_archoftestbenchisFileRESULTS:TEXTopenWRITE_MODEis"results.txt";Componentcounter8port(CLK:inSTD_LOGIC; RESET:inSTD_LOGIC; CE,LOAD,DIR:inSTD_LOGIC; DIN:inINTEGERrange0to255; COUNT:outINTEGERrange0to255);endcomponent;sharedvariableend_sim:BOOLEAN:=false;signalCLK,RESET,CE,LOAD,DIR:STD_LOGIC;signalDIN:INTEGERrange0to255;signalCOUNT:INTEGERrange0to255;procedureWRITE_RESULTS ( CLK,CE,LOAD,LOAD,RESET:STD_LOGIC;(接下頁)DIN,COUNT:INTEGER)isVariableV_OUT:LINE;Begin write(V_OUT,now,right,16,ps);--輸入時間 write(V_OUT,CLK,right,2); write(V_OUT,RESET,right,2); write(V_OUT,CE,right,2); write(V_OUT,LOAD,right,2); write(V_OUT,DIR,right,2); write(V_OUT,DIN,right,257); --writeoutputs write(V_OUT,COUNT,right,257); writeline(RESULTS,V_OUT);endWRITE_RESULTS;begin UUT:COUNTER8 portmap(CLK=>CLK,RESET=>RESET, CE=>CE,LOAD=>LOAD, DIR=>DIR, DIN=>DIN, COUNT=>COUNT);CLK_IN: process Begin(接下頁)
ifend_sim=falsethenCLK<='0'; Waitfor15ns; CLk<='1'; Waitfor15ns; Else Wait; endif; endprocess;STIMULUS:process Begin RESET <='1'; CE <='1'; --計數(shù)使能
DIR <='1'; --加法計數(shù)
DIN <=250; --輸入數(shù)據(jù) LOAD <='0'; --禁止加載輸入的數(shù)據(jù)waitfor15ns;RESET <='0';waitfor1us;CE <='0'; --禁止計數(shù)脈沖信號進入
waitfor200ns;CE <='1';waitfor200ns;(接下頁)
DIR <='0';waitfor500ns;LOAD <='1';waitfor60ns;LOAD <='0'; waitfor500ns;DIN <=60;DIR <='1';LOAD <='1';waitfor60ns;LOAD <='0';waitfor1us; CE <='0';waitfor500ns;CE <='1';waitfor500ns;end_sim:=true; wait;endprocess; WRITE_TO_FILE:WRITE_RESULTS(CLK,RESET,CE,LOAD,DIR,DIN,COUNT);Endtestbench_arch;12.4VHDL測試基準
圖12-48位計數(shù)器測試基準仿真部分波形圖
12.5VHDL系統(tǒng)級仿真
圖12-5VHDL系統(tǒng)仿真模型示意圖
12.5VHDL系統(tǒng)級仿真
12.6使用ModelSim進行仿真
12.5VHDL系統(tǒng)級仿真
【例12-8】LIBRARYieee;USEieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;ENTITYcnt4IS PORT ( rst:INSTD_LOGIC; d:INSTD_LOGIC_VECTOR(3downto0); load:INSTD_LOGIC; clk,ce:INSTD_LOGIC; q:OUTSTD_LOGIC_VECTOR(3downto0); cout:OUTSTD_LOGIC );ENDcnt4;ARCHITECTUREsynOFcnt4IS signalcount:std_logic_vector(3downto0);BEGIN(接下頁)12.5VHDL系統(tǒng)級仿真
cntproc:process(clk,rst)begin ifrst='1'then count<=(others=>'0'); elsifrising_edge(clk)then ifce='1'then ifload='1'then count<=d; else count<=count+1; endif; endif; endif;endprocess;coutproc:process(clk,rst)begin ifrst='1'then cout<='0'; elsifrising_edge(clk)then ifcount="1111"then cout<='1'; else cout<='0'; endif; endif;endprocess;q<=count;ENDsyn;12.6使用ModelSim進行仿真
圖12-6ModelSim的啟動界面
12.5VHDL系統(tǒng)級仿真
12.6使用ModelSim進行仿真
圖12-7建立工程建立項目
12.5VHDL系統(tǒng)級仿真
12.6使用ModelSim進行仿真
圖12-8開始編譯仿真文件
12.5VHDL系統(tǒng)級仿真
12.6使用ModelSim進行仿真
圖12-9ModelSim編譯時的提示信息
12.5VHDL系統(tǒng)級仿真
12.6使用ModelSim進行仿真
圖12-10裝載設計模塊
12.5VHDL系統(tǒng)級仿真
圖12-11ModelSim的仿真觀察窗
12.5VHDL系統(tǒng)級仿真
12.5VHDL系統(tǒng)級仿真
【例12-9】libraryieee;useieee.std_logic_1164.all;ENTITYwavegenIS PORT ( clk,rst:OUTSTD_LOGIC );endwavegen;ARCHITECTUREsimOFwavegenis constantcycle:Time:=10ns;BEGIN processbegin clk<='0'; waitforcycle/2; clk<='1'; waitforcycle/2; endprocess; processbegin rst<='1'; waitforcycle*5; rst<='0'; wait; endprocess;ENDsim;12.6使用ModelSim進行仿真
圖12-12ModelSim的波形觀察窗
12.5VHDL系統(tǒng)級仿真
12.6使用ModelSim進行仿真
圖12-12時鐘與復位信號生成
12.5VHDL系統(tǒng)級仿真
12.7VHDL的RTL表述
12.5VHDL系統(tǒng)級仿真
12.7.1行為描述
【例12-10】LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYcunter_upISPORT(reset,clock:INSTD_LOGIC;counter:OUTSTD_LOGIC_VECTO
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
- 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 服務類合同的續(xù)簽事宜
- 商品采購合同新版格式
- 空氣源熱泵安裝招標啟事
- 股東借款合同范本英文
- 監(jiān)理合同條款范本
- 道路標志牌批量訂購
- 檢討保證書撰寫
- 國慶節(jié)活動承包合同
- 安全供貨合作協(xié)議
- 房屋購買委托協(xié)議書
- 管道安全檢查表
- 《醫(yī)學統(tǒng)計學》期末試卷
- 昌樂縣鎮(zhèn)區(qū)基準地價更新修正體系匯編(完整版)資料
- 2023年中考語文褒義詞專題練習(含答案)
- 應急救援預案演練效果評價
- 微生物原生質體融合育種課件
- 景觀園林綠化施工設計及養(yǎng)護
- (完整版)風電專業(yè)考試題庫(帶答案)
- 拖欠民工工資檢查表2橫表
- 防火門單位向總包單位移交防火門完成工作面交接單
- GB/T 40719-2021硫化橡膠或熱塑性橡膠體積和/或表面電阻率的測定
評論
0/150
提交評論