版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、安陽(yáng)工學(xué)院電子信息與電氣工程學(xué)院EDA技術(shù)課程大作業(yè)題目: 數(shù)字時(shí)鐘的設(shè)計(jì) 班級(jí): 13級(jí)電氣自動(dòng)化技術(shù)一班評(píng)分標(biāo)準(zhǔn):1、 設(shè)計(jì)和結(jié)論正確,分析清晰合理。 40%2、 大作業(yè)報(bào)告闡述清晰,格式規(guī)范。 30%3、 陳述清晰,回答問(wèn)題正確。 30%大作業(yè)成績(jī)姓名學(xué)號(hào)個(gè)人貢獻(xiàn)百分比(學(xué)生自評(píng))教師評(píng)分回答問(wèn)題總成績(jī)總成績(jī)=T+J*40%+(J+J*(X-1/N)*30%T為回答問(wèn)題成績(jī),J為教師成績(jī),X為學(xué)生自評(píng)分值,N為小組人數(shù)數(shù)字時(shí)鐘的設(shè)計(jì)1. 設(shè)計(jì)任務(wù)設(shè)計(jì)一款數(shù)字電子時(shí)鐘,具體要求如下:1:輸入條件:50MHz時(shí)鐘,2個(gè)輸入按鍵;2:功能實(shí)現(xiàn):具有顯示時(shí)、分、秒功能;采用LED數(shù)碼管顯示;具有
2、對(duì)時(shí)功能,對(duì)時(shí)精確到分,對(duì)時(shí)采用按鍵作為輸入信號(hào)。2. 設(shè)計(jì)方案 要實(shí)現(xiàn)一個(gè)數(shù)字時(shí)鐘小系統(tǒng),整個(gè)系統(tǒng)由主要模塊電路模塊和外部輸入輸出以及顯示模塊組成。首先分別實(shí)現(xiàn)單個(gè)模塊的功能,然后再通過(guò)級(jí)聯(lián)組合的方式實(shí)現(xiàn)對(duì)整個(gè)系統(tǒng)的設(shè)計(jì)。其中,主要模塊有六個(gè)。它包括脈沖信號(hào)產(chǎn)生模塊、時(shí)間計(jì)數(shù)模塊(計(jì)數(shù)模塊又分為分計(jì)數(shù)模塊、秒計(jì)數(shù)模塊、時(shí)計(jì)數(shù)模塊)、譯碼顯示模塊、復(fù)位模塊、調(diào)節(jié)模塊。各個(gè)模塊先用EDA技術(shù)中的VHDL語(yǔ)言編程仿真,再生成各個(gè)小模塊的模擬元件,再元件例化,根據(jù)設(shè)計(jì)連接電路實(shí)現(xiàn)數(shù)字電子鐘小系統(tǒng)。通過(guò)分頻,產(chǎn)生1HZ的時(shí)鐘信號(hào);分別設(shè)計(jì)秒計(jì)時(shí),分計(jì)時(shí),時(shí)計(jì)時(shí),秒計(jì)時(shí)用上面的時(shí)鐘信號(hào)1HZ產(chǎn)生,分計(jì)時(shí)
3、也是60一清零,分計(jì)時(shí)的時(shí)鐘用的是秒計(jì)時(shí)的進(jìn)位信號(hào),時(shí)計(jì)時(shí)用的是24一清零,CLK是分的進(jìn)位,顯示模塊用的是7段共陽(yáng)數(shù)碼管,用來(lái)顯示數(shù)字。具體的思想如下圖1所示顯示模塊數(shù)碼管計(jì)時(shí)模塊Clk分頻模塊控制模塊3. 方案實(shí)施 1分頻器模塊1:模塊說(shuō)明:輸入一個(gè)頻率為50MHz的CLK,利用計(jì)數(shù)器分出1KHz的q1KHz,500Hz的q500Hz,2Hz的q2Hz和1Hz的q1Hz。2:源程序:LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;ENTITY fdiv IS PORT (CLK: IN S
4、TD_LOGIC ; q1KHz: BUFFER STD_LOGIC; q500Hz: BUFFER STD_LOGIC; q2Hz: BUFFER STD_LOGIC; q1Hz: OUT STD_LOGIC);END fdiv ; ARCHITECTURE bhv OF fdiv IS BEGIN P1KHZ:PROCESS(CLK)VARIABLE cout:INTEGER:=0;BEGIN IF CLKEVENT AND CLK=1 THEN cout:=cout+1; IF cout=25000 THEN q1KHz=0; ELSIF cout50000 THEN q1KHz=1;E
5、LSE cout:=0; END IF; END PROCESS; P500HZ:PROCESS(q1KHz) VARIABLE cout:INTEGER:=0;BEGINIF q1KHzEVENT AND q1KHz=1 THENcout:=cout+1;IF cout=1 THEN q500Hz=0; ELSIF cout=2 THEN cout:=0;q500Hz=1;END IF; END IF; END PROCESS;P2HZ:PROCESS(q500Hz)VARIABLE cout:INTEGER:=0;BEGINIF q500HzEVENT AND q500Hz=1 THENc
6、out:=cout+1;IF cout=125 THEN q2Hz=0; ELSIF cout250 THEN q2Hz=1; ELSE cout:=0;END IF; END IF; END PROCESS;P1HZ:PROCESS(q2Hz)VARIABLE cout:INTEGER:=0;BEGINIF q2HzEVENT AND q2Hz=1 THENcout:=cout+1;IF cout=1 THEN q1Hz=0; ELSIF cout=2 THEN cout:=0;q1Hz=1;END IF; END IF; END PROCESS;END bhv;2秒計(jì)時(shí)模塊1:模塊說(shuō)明:通
7、過(guò)分頻獲得的時(shí)鐘信號(hào),便是1s,秒的低位到達(dá)9是向高位進(jìn)1,高位到達(dá)6是向上進(jìn)1,并清零。2:源程序 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity second is port(clk,reset:in std_logic; sec1,sec2:out std_logic_vector(3 downto 0); carry:out std_logic);end second;architecture rt1 of second is signal sec1_t,sec2_t:std
8、_logic_vector(3 downto 0);begin process(clk,reset) begin if reset=1then sec1_t=0000; sec2_t=0000; elsif clkevent and clk=1then if sec1_t=1001then sec1_t=0000; if sec2_t=0101then sec2_t=0000; else sec2_t=sec2_t+1; end if; else sec1_t=sec1_t+1; end if; if sec1_t=1001 and sec2_t=0101then carry=1; else
9、carry=0; end if; end if; end process; sec1=sec1_t; sec2=sec2_t;end rt1;3分計(jì)時(shí)模塊1:模塊說(shuō)明:這里用的時(shí)鐘信號(hào)的來(lái)自秒的進(jìn)位,即進(jìn)一位就是1min,分的低位到達(dá)9是向高位進(jìn)1并清零,高位到達(dá)6時(shí)向上進(jìn)1,到達(dá)5時(shí)等待進(jìn)位后清零。.2:源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity minute is port(clk,reset:in std_logic; min1,min2:out std_logic_
10、vector(3 downto 0); carry:out std_logic);end second;architecture rt1 of minute is signal min1_t,min2_t:std_logic_vector(3 downto 0);begin process(clk,reset) begin if reset=1then min1_t=0000; min2_t=0000; elsif clkevent and clk=1then if min1_t=1001then min1_t=0000; if min2_t=0101then min2_t=0000; els
11、e min2_t=min2_t+1; end if; else min1_t=min1_t+1; end if; if min1_t=1001 and min2_t=0101then carry=1; else carry=0; end if; end if; end process; min1=min1_t; min2=min2_t;end rt1;4時(shí)計(jì)時(shí)模塊1:模塊說(shuō)明:這里的時(shí)鐘信號(hào)時(shí)來(lái)自上面的分的進(jìn)位,上面進(jìn)一位便表示1h,時(shí)的低位到達(dá)9是向高位進(jìn)1并清零,高位到達(dá)2等待進(jìn)位后清零,這里當(dāng)高位到達(dá)2時(shí),低位為3即將到4時(shí)開始進(jìn)位。2:源程序library ieee;use ieee.
12、std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour is port (clk,reset:in std_logic; hour1,hour2:out std_logic_vector(3 downto 0); end hour;architecture rt1 of hour is signal hour1_t,hour2_t:std_logic_vector(3 downto 0);beginprocess(clk,reset)begin if reset=1then hour1_t=0000; hour2_t=000
13、0; elsif clkevent and clk=1then if hour1_t=0011 and hour2_t=0010then hour1_t=0000; hour2_t=0000; else if hour1_t=1001then hour1_t=0000; if hour2_t=0010then hour2_t=0000; else hour2_t=hour2_t+1; end if; else hour1_t=hour1_t+1; end if; end if; end if;end process; hour1=hour1_t; hour2doutdoutdoutdoutdoutdoutdou
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 二零二五年度建筑工程設(shè)計(jì)、施工、監(jiān)理、綠色安全一體化合同3篇
- 2024版物業(yè)公司清潔服務(wù)合同
- 工業(yè)螺桿冷水機(jī)安全操作規(guī)程
- 二零二五年度大型企業(yè)高級(jí)管理人員聘用合同范本2篇
- 初一國(guó)際數(shù)學(xué)試卷
- 2025年魯人新版八年級(jí)化學(xué)上冊(cè)月考試卷含答案
- 二零二五年度某科技公司計(jì)算機(jī)軟件開發(fā)合同2篇
- 2025年滬科版高一數(shù)學(xué)上冊(cè)月考試卷含答案
- 2025年魯教版八年級(jí)數(shù)學(xué)下冊(cè)月考試卷
- 射線實(shí)時(shí)成像探傷機(jī)安全操作規(guī)程
- 農(nóng)業(yè)合作社章程范本
- 痛風(fēng)性關(guān)節(jié)炎 課件
- 2休閑食品市場(chǎng)營(yíng)銷策劃案1
- 全國(guó)高校第三輪學(xué)科評(píng)估按大學(xué)匯總
- 酒店砌體專項(xiàng)施工方案
- 建設(shè)工程施工合同 GF—2017—0201
- 部編版小學(xué)語(yǔ)文五年級(jí)下冊(cè)第四單元教學(xué)計(jì)劃及單元分析
- 進(jìn)水口快速閘門液壓?jiǎn)㈤]機(jī)安裝施工方案
- 法道(FADAL)機(jī)床設(shè)備維修知識(shí)講座
- 職校生個(gè)人簡(jiǎn)歷自薦信范文模板
- 雙電源STS靜態(tài)換轉(zhuǎn)開關(guān)輸入配電系統(tǒng)解決方案
評(píng)論
0/150
提交評(píng)論