七人表決器hdl_第1頁
七人表決器hdl_第2頁
七人表決器hdl_第3頁
七人表決器hdl_第4頁
七人表決器hdl_第5頁
已閱讀5頁,還剩6頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、2010級EDA課程設計 EDA課程設計報告書課題名稱七人表決器設計姓 名韓正風學 號 201009110113學 院信息學院專 業(yè)通信工程一班指導教師李巖2012年 12 月目錄目錄-報告內(nèi)容-一、 設計目的-二、 設計要求-三、 使用說明-四、 流程圖設計-五、 程序設計-六、 實驗過程及截圖-七、 設計總結(jié)-八、 實驗心得-九、 參考文獻-七人表決器設計 一、設計目的1.熟悉Quartus II軟件的使用。2.熟悉七人表決器的工作原理3.熟悉EDA開發(fā)的基本流程。二、設計要求 表決器就是對于一個行為,由多個人投票,如果同意的票數(shù)過半,就認為此行為可行;否則如果否決的票數(shù)過半,則認為此行為

2、無效。七人表決器由七個人來投票,當同意的票數(shù)大于或者等于4人時,則認為同意;反之,當否決的票數(shù)大于或者等于4人時,則認為不同意。實驗中用7個撥擋開關來表示七個人,當對應的撥擋開關輸入為1時,表示此人同意;否則若撥擋開關輸入為0時,則表示此人反對。表決的結(jié)果用一個LED表示,若表決的結(jié)果為同意,則LED被點亮;否則,如果表決的結(jié)果為反對,則LED不會被點亮。同時用七段顯示數(shù)碼管來顯示同意的人數(shù)。完成7人表決電路設計,LED燈表示通過、否決。 (1)開關表示贊成與否,18編號(1贊成);(2)LED顯示表決的結(jié)果;(3)數(shù)碼管分別顯示贊成、否決的人數(shù);(4)工作時鐘100Hz即可;(5)完成全部流

3、程:設計規(guī)范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、時序仿真、下載驗證等。三、使用說明 本設計就是利用CPLD/FPGA+實驗箱中的按鈕開關模塊和LED模塊來實現(xiàn)一個簡單的七人表決器的功能。按鈕開關模塊中的K1K7表示七個人,當按鈕開關按下表示輸入為1時,表示對應的人投同意票,否則當按鈕開關輸入為0時,表示對應的人投反對票;LED模塊中D8表示七人表決的結(jié)果,當同意數(shù)大于3人的時候,D8點亮表示一致同意,否則D8熄滅表示一致反對,同時使用試驗臺的數(shù)碼管分別顯示同意的人數(shù)及不同意的人數(shù)。七個人開始投票表決統(tǒng)計同意的人數(shù)j判斷j是否大于3333333333333333333333

4、3333333333于3D8點亮YND8熄滅大板顯示統(tǒng)計結(jié)果中同意的人數(shù)四、流程圖設計五、程序設計:該程序設計使用VHDL語言編寫來實現(xiàn)工程的仿真運行:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity feng isport ( reset : in std_logic ; xin: in std_logic_vector ( 6 downto 0 ); sel: out std_logic; xout : out std_logic_vector ( 3 downto 0 ); xo

5、ut0 :out std logic vector (3 downto 0) );end entity ;architecture bev of feng isbegin process ( xin )variable j: integer :=0; begin j:=0; for i in 0 to 6 loop if xin(i)=1 then j:=j+1; end if; end loop;case j is when 0 = xout xout xout xout xout xout xout xout null; end case;case j is when 7 = xout x

6、out xout xout xout xout xout xout null; end case;if j3 thensel=1;else sel=0;end if;end process;end architecture bev;六、實驗過程及截圖 1、打開Quartus II軟件2、創(chuàng)建工程 3、運行程序4、選擇器原理圖 5、仿真調(diào)試6、引腳綁定 七、設計總結(jié): 在設計的過程中,我熟悉了Quartus II的基本使用方法,熟悉了EDA程序的運行環(huán)境,對各個運行器件及操作按鈕有了新的認識和了解,熟悉了VHDL語言,能夠利用VHDL語言實現(xiàn)簡單基本的程序,同時也了解了試驗臺上大板和小板的數(shù)碼管

7、的極性。在對按鍵K1-K7進行統(tǒng)計時,采用了按位統(tǒng)計的方法,利用簡單的FOR循環(huán)。通過這次EDA的課程設計,我進一步的了解了EDA的編程技術及其應用。EDA作為現(xiàn)在電子行業(yè)的熱門技術之一,有著較強的專業(yè)性和實用性 ,而此次的EDA小學期給我們接觸EDA技術的機會,同時為以后的就業(yè)提供了很好的演練平臺。八、實驗心得通過這段時間的EDA課程設計,我更加熟練的掌握了EDA開發(fā)的基本流程,熟練地使用Quartus II軟件進行編程,在老師和同學的幫助下,順利完成了七人表決器的相關設計,在此表示感謝。此外,我還在課程設計的過程中,發(fā)現(xiàn)自身的許多不足之處,在今后的學習中還需要更加的努力,加強這些方面的學習和鍛煉。九、參考文獻:1 延明,張亦化.數(shù)字電路EDA技術入門.北京:郵

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論