版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、內(nèi)容目錄 HYPERLINK l _TOC_250018 市場空間:先進制程比重不斷提升 5 HYPERLINK l _TOC_250017 晶圓代工市場保持增長,先進制程占比在提升 5 HYPERLINK l _TOC_250016 半導體硅含量持續(xù)提升,12 寸硅晶圓保持快速增長 9 HYPERLINK l _TOC_250015 摩爾定律:先進制程成為晶圓制造的分水嶺 11 HYPERLINK l _TOC_250014 摩爾定律沒有失效,但資本壁壘迅速提升 11 HYPERLINK l _TOC_250013 晶圓制造行業(yè)技術(shù)復雜度不斷提升 16 HYPERLINK l _TOC_25
2、0012 護城河加深,行業(yè)高壁壘、高集中、少進入者 18 HYPERLINK l _TOC_250011 半導體需求三駕馬車共振,國產(chǎn)替代迎來機遇 22 HYPERLINK l _TOC_250010 數(shù)據(jù)中心:數(shù)據(jù)中心回暖,受益于 5G 持續(xù)發(fā)展 23 HYPERLINK l _TOC_250009 手機:5G 放量“前夜”,單機硅含量提升 27 HYPERLINK l _TOC_250008 通訊:5G 基站建設(shè)進入放量期 31 HYPERLINK l _TOC_250007 國產(chǎn)替代:歷史性機遇開啟,晶圓代工訂單轉(zhuǎn)移 33 HYPERLINK l _TOC_250006 行業(yè)近況:景氣上
3、行,新一輪資本開支啟動 34 HYPERLINK l _TOC_250005 臺積電:全球晶圓代工龍頭廠商,增加資本開支推進先進制程 34 HYPERLINK l _TOC_250004 中芯國際:先進制程追趕加速,14nm 進展超預期 38 HYPERLINK l _TOC_250003 華虹半導體:8 寸晶圓高度景氣 43 HYPERLINK l _TOC_250002 聯(lián)電:產(chǎn)能利用率提升,資本開支增加 46 HYPERLINK l _TOC_250001 財報分析:戰(zhàn)略選擇與投資回報率,追趕者的黎明 48 HYPERLINK l _TOC_250000 風險提示 53圖表目錄圖表 1:
4、晶圓代工市場占半導體市場約 15% 5圖表 2:晶圓代工創(chuàng)造半導體行業(yè)分工模式 5圖表 3:IC 設(shè)計廠與 IDM 的半導體業(yè)務收入(十億美元) 6圖表 4:全球晶圓代工行業(yè)收入(億美元) 6圖表 5:全球晶圓代工行業(yè)產(chǎn)能(等價 8 寸片;千片) 7圖表 6:2019 年全球晶圓代工行業(yè)收入分布 7圖表 7:2019 年全球晶圓代工行業(yè)產(chǎn)能分布 7圖表 8:先進制程占比不斷提高 8圖表 9:全球晶圓代工區(qū)域占比(20192023 年為預測數(shù)據(jù)) 8圖表 10:中國大陸集成電路市場規(guī)模(億元) 9圖表 11:中國大陸集成電路市場結(jié)構(gòu)(億元) 9圖表 12:半導體市場規(guī)模 9圖表 13:全球硅片需
5、求預測 10圖表 14:全球 12 寸硅片供需預測(千片/月) 10圖表 15:全球 12 寸硅片需求側(cè)拆分(千片/月) 11圖表 16:制程升級放緩 11圖表 17:IMEC 半導體技術(shù)藍圖已經(jīng)規(guī)劃到 1nm 12圖表 18:過去十年半導體性能提升速度 12圖表 19:未來十年半導體性能提升速度 13圖表 20:250mm Die Siz 的成本倍數(shù)迅速提升 13圖表 21:CPU/GPU 芯片Die Size 呈現(xiàn)上升趨勢 13圖表 22:蘋果手機處理器制程及尺寸 14圖表 23:2019 年單片晶圓價格預估(等價 8 寸片計價,美元) 14圖表 24:設(shè)計成本:先進IC 設(shè)計成本快速增加
6、 14圖表 25:投資金額:100K 產(chǎn)能對應投資額要求(億美元) 15圖表 26:工藝成本:7nm 之后單位芯片工藝成本每代增加 30% 15圖表 27:臺積電不同制程對應應用(2019-06) 15圖表 28:新產(chǎn)品從成熟制程往先進制程遷移 15圖表 29:7nm 及以下先進制程應用:智能手機、HPC、AIoT 16圖表 30:ASML 預測半導體制程升級規(guī)劃 16圖表 31:先進制程設(shè)備端布局 17圖表 32:晶體管結(jié)構(gòu)變化 17圖表 33:下一代晶體管結(jié)構(gòu) 17圖表 34:臺積電先進封裝技術(shù)一覽 18圖表 35:臺積電布局 3D integration 封裝技術(shù) 18圖表 36: 三星
7、布局先進封裝技術(shù) 18圖表 37:臺積電、三星、英特爾均是堆疊封裝技術(shù)的主要參與者 18圖表 38:晶圓廠制程升級規(guī)劃 19圖表 39:晶圓代工行業(yè)前十名收入(百萬美元) 19圖表 40:先進制程產(chǎn)能分布 20圖表 41:不同制程節(jié)點晶體管密度(標準化工藝節(jié)點以intel 10nm 為參考節(jié)點) 20圖表 42:臺積電制程升級路徑 21圖表 43:臺積電歷代制程PPA(power、performance、Are reduction)環(huán)比提升幅度 21圖表 44:19872019 英特爾制程升級路徑(縱坐標為 nm 數(shù)) 21圖表 45:英特爾未來制程升級規(guī)劃 21圖表 46:英特爾服務CPU
8、產(chǎn)品路線 22圖表 47:三星電子晶圓代工制程發(fā)展路徑 22圖表 48:全球服務器年出貨量統(tǒng)計 23圖表 49:IDC 服務器裝機量增長趨勢(千臺) 24圖表 50:云計算資本開支金額(百萬USD) 24圖表 51:全球服務器自 2019 年后的出貨量預測(萬臺) 24圖表 52:中國X86 服務器出貨量及預測 25圖表 53:中國X86 服務器市場規(guī)模 25圖表 54:數(shù)據(jù)中心的新 SSD 儲存需求(ZB/年) 25圖表 55:數(shù)據(jù)中心對 300mm 硅片的需求(千片每月) 25圖表 56:不同類型服務器出貨量預測(萬臺) 26圖表 57:服務器合計出貨量預測(萬臺) 26圖表 58:全球服
9、務器用DRAM、CPU、GPU 測算 26圖表 59:全球智能手機出貨量(百萬臺) 27圖表 60:全球智能手機按品牌出貨量(百萬臺) 28圖表 61:5G 芯片備貨量(百萬顆) 28圖表 62:移動通訊技術(shù)的變革路線圖 29圖表 63:全球射頻前端市場規(guī)模預測(億美元) 29圖表 64:全球手機攝像頭模組消費量(億顆) 30圖表 65:國內(nèi)手機攝像頭模組產(chǎn)量(億顆) 30圖表 66:6P 鏡頭滲透率 30圖表 67:中國手機廠商像素升級過程 30圖表 68:5G 手機規(guī)格升級 31圖表 69:5G 手機升級帶來硅含量提升 31圖表 70:宏基站年建設(shè)數(shù)量預測 31圖表 71:4G 與 5G
10、基站區(qū)別對比 32圖表 72:國內(nèi)四大運營商 5G 商用推動情況 32圖表 73:國產(chǎn)替代空間測算 33圖表 74:華為替代鏈示意圖 34圖表 75:4Q19 綜合損益表 35圖表 76:臺積電營收及增長率 35圖表 77:臺積電凈利潤及增長率 36圖表 78:臺積電資本性支出(億美元) 36圖表 79:臺積電二十年復盤圖 37圖表 80:臺積電工藝平臺 37圖表 81:公司發(fā)展階段 38圖表 82:中芯國際制程應用分布(棕色表示中芯國際量產(chǎn)制程,截止于 2017.08) 39圖表 83:中芯國際與臺積電量產(chǎn)制程代際差 40圖表 84: “大基金一期”參與的中芯國際投資與合作 40圖表 85:
11、中芯國際現(xiàn)有產(chǎn)能(產(chǎn)能單位為萬片/月) 41圖表 86:中芯國際季度收入(百萬美元) 42圖表 87:中芯國際季度產(chǎn)能利用率和單價(美元) 42圖表 88:中芯國際季度晶圓出貨量(萬片,等效八寸片) 42圖表 89:中芯國際季度收入按應用劃分 42圖表 90:中芯國際季度收入按區(qū)域劃分 43圖表 91:中芯國際季度收入按制程劃分 43圖表 92:華虹半導體發(fā)展歷程 43圖表 93:華虹半導體技術(shù)平臺 43圖表 94:公司技術(shù)平臺&各產(chǎn)品應用領(lǐng)域技 44圖表 95:華虹半導體營業(yè)收入(千美元) 45圖表 96:華虹半導體出貨量(千片,等價八寸片)及單價(美元) 45圖表 97:華虹半導體產(chǎn)能利用
12、率 46圖表 98:華虹半導體收入按制程劃分 46圖表 99:華虹半導體收入按下游應用劃分 46圖表 100:華虹半導體收入按區(qū)域分化 46圖表 101:聯(lián)電晶圓廠季度產(chǎn)能(千片) 47圖表 102:聯(lián)電季度收入及單價 47圖表 103:聯(lián)電季度出貨量及產(chǎn)能利用率 47圖表 104:聯(lián)電季度收入按制程劃分 48圖表 105:聯(lián)電季度收入按下游應用劃分 48圖表 106:晶圓代工企業(yè)產(chǎn)能利用率預估 48圖表 107:晶圓代工企業(yè)資本開支 49圖表 108:晶圓代工企業(yè)出貨量(千片,等價 12 寸片) 49圖表 109:晶圓代工企業(yè)均價(美元,等價 12 寸片) 50圖表 110:晶圓代工企業(yè)營業(yè)
13、收入(百萬美元) 50圖表 111:晶圓代工企業(yè)毛利率 51圖表 112:晶圓代工企業(yè)折舊占營業(yè)成本比重 51圖表 113:晶圓代工企業(yè)毛利率 52圖表 114:晶圓代工企業(yè)ROE 52市場空間:先進制程比重不斷提升晶圓代工市場保持增長,先進制程占比在提升根據(jù)gartner 預測,2019 年全球晶圓代工市場約627 億美元,占全球半導體市場約15%。預計 20182023 年晶圓代工市場復合增速為 4.9%。圖表 1:晶圓代工市場占半導體市場約 15%資料來源:gartner、 開創(chuàng)專業(yè)分工模式,晶圓代工廠在半導體產(chǎn)業(yè)鏈中越來越重要。臺積電開創(chuàng)了晶圓代工+IC 設(shè)計的模式。隨著半導體制造規(guī)模
14、效應的凸顯,以及技術(shù)和資金壁壘的提升,IDM模式下的廠商擴張難度加大,沉沒成本提高。目前垂直分工模式成為了行業(yè)的發(fā)展趨勢,半導體新進入者大多采用 Fabless 模式,同時有更多的 IDM 公司如 AMD、NXP、TI等都將走向 Fabless 或 Fablite 模式。圖表 2:晶圓代工創(chuàng)造半導體行業(yè)分工模式資料來源:臺積電官網(wǎng)、 在晶圓代工的支持下,IC 設(shè)計廠迅速崛起。根據(jù) IC Insight 數(shù)據(jù),20092019 年 IC 設(shè)計行業(yè)的收入復合增速為 8%,IDM 行業(yè)的收入復合增速為 5%。IC 設(shè)計的繁榮興起與先進制程的資本、技術(shù)密度提升,使得以臺積電為代表的晶圓代工廠(Foun
15、dry)在半導體產(chǎn)業(yè)鏈中扮演越來越重要的角色。圖表 3:IC 設(shè)計廠與 IDM 的半導體業(yè)務收入(十億美元)資料來源:IC insight、 2020 年晶圓代工市場重返增長,0.016micron、0.032micron 為當前收入占比最高的節(jié)點。根據(jù) Gartner,2019 年全球晶圓代工收入 627 億美元,增速為-0.2%。預計 2020年增速回到 8%。結(jié)構(gòu)上,收入貢獻最大的為 0.016micron(12/14/16nm),達到 97 億美元;其次為 0.032micron(22/28/32nm),達到 86 億美元。10nm 預計 26 億美元,7nm 預計 85 億美元。臺積
16、電 2019 年收入為 346 億美元,占比達 55%。圖表 4:全球晶圓代工行業(yè)收入(億美元)資料來源:gartner、 根據(jù) Gartner,從產(chǎn)能分布角度而言,2019 年全球晶圓代工等效 8 寸片年產(chǎn)能為 7838萬片,其中 0.18micro 達到 1363 萬片,其次 65nm 達到 982 萬片,45nm 達到 882 萬片,32nm 達到 80 萬片。根據(jù)臺積電財報,臺積電 2019 年等效 8 寸片產(chǎn)能超過 2700萬片,占比約 34%。根據(jù)拓璞產(chǎn)業(yè)研究,2019 年,28nm 以下制程的營收在前五大廠商(臺積電、三星、格芯、聯(lián)電、中芯國際)在的合計營收中占比約 44%。圖表
17、 5:全球晶圓代工行業(yè)產(chǎn)能(等價 8 寸片;千片)資料來源:gartner、 圖表 6:2019 年全球晶圓代工行業(yè)收入分布圖表 7:2019 年全球晶圓代工行業(yè)產(chǎn)能分布資料來源:gartner、 資料來源:gartner、 先進制程比重快速提升。根據(jù) ASML 在 2018 年底的預測,先進制程的占比會迅速提高,其中部分現(xiàn)有制程的產(chǎn)線通過設(shè)備升級成先進制程產(chǎn)線。ASML 預測 2025 年 12 寸晶圓的先進制程占比會達到 2/3。圖表 8:先進制程占比不斷提高資料來源:ASML 官網(wǎng)、 全球晶圓代工市場以晶圓廠所在地劃分,全球晶圓代工前三大區(qū)域分別為中國臺灣、中國大陸、韓國。臺灣占比達到
18、66%左右,并在先進制程導入和新型產(chǎn)業(yè)趨勢下引領(lǐng)行業(yè)發(fā)展。大陸處于追趕角色,比重正在持續(xù)提升,從 2017 年的 9.0%提升至 2023 年的12.9%。韓國三星持續(xù)加大投資,因此韓國的份額也保持略有增長。圖表 9:全球晶圓代工區(qū)域占比(20192023 年為預測數(shù)據(jù))資料來源:gartner、 2019 年中國大陸晶圓代工市場約 2149 億元,大陸集成電路向“大設(shè)計-中制造-中封測”轉(zhuǎn)型,大陸的設(shè)計、制造將起航。2018 年中國大陸集成電路產(chǎn)業(yè)繼續(xù)保持快速增長,規(guī)模達到 6531.4 億元,同比增長 20.7%,預計到 2020 年突破 9000 億。中國大陸集成電路產(chǎn)業(yè)結(jié)構(gòu)將繼續(xù)由“小
19、設(shè)計-小制造-大封測”向“大設(shè)計-中制造-中封測”轉(zhuǎn)型,產(chǎn)業(yè)鏈逐漸從低端向高端延伸,產(chǎn)業(yè)結(jié)構(gòu)更趨于合理。圖表 10:中國大陸集成電路市場規(guī)模(億元)圖表 11:中國大陸集成電路市場結(jié)構(gòu)(億元)資料來源:賽迪顧問、 資料來源:賽迪顧問、 半導體硅含量持續(xù)提升,12 寸硅晶圓保持快速增長長期維度下電子化趨勢推進,硅含量不斷提升。半導體硅含量代表電子系統(tǒng)中半導體集成電路芯片總價值占電子系統(tǒng)價值的百分比,可用來衡量半導體的滲透率。如果從下游需求分析,硅含量就是下游需求中半導體芯片的滲透率。從長期的維度上來看,電子化是不斷推進的趨勢,而各類電子產(chǎn)品中的半導體含量過去 20 年來都在不斷上升,簡稱 “硅含
20、量”提升圖表 12:半導體市場規(guī)模資料來源:WTST、 硅片/硅晶圓是制造芯片的核心基礎(chǔ)材料,高純度要求下工序流程復雜、設(shè)備參數(shù)要求高。Raw wafer 在整體成本中的占比并不高(不到 10%,芯片制程越先進占比越?。?,但是,硅晶圓作為芯片制造的基礎(chǔ)核心材料能夠從量上直接觀測行業(yè)芯片的產(chǎn)出、先進制程升級的節(jié)奏。硅片/晶圓供給的主要增長來自于 12 寸(300mm),8 寸片以存量產(chǎn)能為主。根據(jù)硅片龍頭 Sumco 在 2019Q3 的指引,20182022 年 12 寸硅片需求數(shù)量復合增長率預期為4.1%;12 寸硅片供給數(shù)量復合增長率預期為 3.9%,供給增速低于需求增速。從需求側(cè)分拆,硅
21、片幾大需求包括Nand、Dram、Logic 和其他。圖表 13:全球硅片需求預測資料來源:sumco 官網(wǎng)、 圖表 14:全球 12 寸硅片供需預測(千片/月)資料來源:sumco 官網(wǎng)、 圖表 15:全球 12 寸硅片需求側(cè)拆分(千片/月)資料來源:sumco 官網(wǎng)、 摩爾定律:先進制程成為晶圓制造的分水嶺摩爾定律沒有失效,但資本壁壘迅速提升摩爾定律:當價格不變時,集成電路上可容納的元器件的數(shù)目,約每隔 1824 個月便會增加一倍,性能也將提升一倍。英偉達的黃仁勛認為嚴格意義上的摩爾定律已經(jīng)失效,IMEC(比利時微電子研究中心)、ASML 等機構(gòu)為半導體產(chǎn)業(yè)規(guī)劃的藍圖里摩爾定律持續(xù)演進。摩
22、爾定律沒有失效,但是制程之外的設(shè)計與工藝扮演越來越重要的角色,同時資本密集度的迅速提升使得行業(yè)壁壘發(fā)生變化。圖表 16:制程升級放緩資料來源:AMD 官網(wǎng)、 圖表 17:IMEC 半導體技術(shù)藍圖已經(jīng)規(guī)劃到 1nm資料來源:IMEC 官網(wǎng)、 摩爾定律推進,但制程提升貢獻比例在下降。根據(jù) AMD 數(shù)據(jù),過去十年制程升級帶來更高性能、更低功耗,制程升級為半導體性能提升貢獻 40%。根據(jù) ASML 預測,20182028年的未來十年半導體性能提升進一步加速,制程提升的貢獻為 30%左右,剩下增長來自于諸如 3D Stacking、多核架構(gòu)、內(nèi)存整合、軟件系統(tǒng)、電源管理等多方面的升級。因此,在未來的芯片
23、性能提升中,架構(gòu)、系統(tǒng)、軟件將扮演越來越重要的角色。圖表 18:過去十年半導體性能提升速度資料來源:AMD 官網(wǎng)、 圖表 19:未來十年半導體性能提升速度資料來源:ASML 官網(wǎng)、 晶圓制造行業(yè)發(fā)展趨勢面臨 Die Size 限制和成本限制。過去十年,CPU 及 GPU 的 Die Size 呈現(xiàn)上升趨勢,但受制于 12 寸晶圓產(chǎn)線,Die Size 的增長是不可持續(xù)的。同時,相同 Die Size 的晶圓產(chǎn)線,單位面積成本也不斷攀升,5nm 的單位面積成本是 45nm 的 5倍。圖表 20:250mm Die Siz 的成本倍數(shù)迅速提升資料來源:AMD 官網(wǎng)、 圖表 21:CPU/GPU 芯
24、片 Die Size 呈現(xiàn)上升趨勢資料來源:AMD 官網(wǎng)、 圖表 22:蘋果手機處理器制程及尺寸2010201120122013201420152016201720182019A13 BionicA12BionicA11BionicA10FusionA9A8A7A6A5A4處 理器三星三星三星三星臺積電臺積電臺積電臺積電臺積電廠積電制程45nm45nm32nm(HKMG28nm(HKMG20nm(HKMG14/16n16nm(Fi10nm(Fi7nm(Finm(FinFEnFET+)nFET)FET)7nm N7P)T)6 ( 64-6 ( 64-代 工三星/ 臺CPU2 ( 64-Coreb
25、it)bit)bit)bit)bit)neuralengine)neuralengine)GPU 12344663441222 ( 64-2 ( 64-4 ( 64-6 ( 64-bit;bit;Core尺 寸 (mm)5312296.7102.98996/104.512587.78098.48資料來源:蘋果官網(wǎng)、 先進制程的持續(xù)升級帶來巨額的成本。根據(jù) IBS,3nm 芯片的設(shè)計費用約 515 億美元,工藝開發(fā)費用約 4050 億美元,興建一條 3nm 產(chǎn)線的成本約 150200 億美元。3nm 芯片僅比 5nm 芯片提升 15%性能、降低 25%功耗。根據(jù) IMEC 論文,7nm 以后,每
26、一代升級單個晶圓的工藝成本(Process Cost)提升幅度達到 30%。同樣面積的硅晶圓,即使通過微縮增加了晶體管的數(shù)量,生產(chǎn)成本也會相應增加。圖表 23:2019 年單片晶圓價格預估(等價 8 寸片計價,美元)圖表 24:設(shè)計成本:先進 IC 設(shè)計成本快速增加資料來源:gartner、 資料來源:IBS、 圖表 25:投資金額:100K 產(chǎn)能對應投資額要求(億美元)圖表 26:工藝成本:7nm 之后單位芯片工藝成本每代增加 30%資料來源:gartner、 資料來源:IMEC 官網(wǎng)、 資金、技術(shù)壁壘提升,先進制程的供給端向寡頭壟斷發(fā)展,先進制程供不應求。創(chuàng)新推動先進制程需求。根據(jù)臺積電的
27、產(chǎn)品組合,最先進制程主要是為 logic 和部分 RF提供,主流的成熟制程能覆蓋大部分其他應用領(lǐng)域。隨著創(chuàng)新不斷升級,5G、AI、物聯(lián)網(wǎng)等需求提升,創(chuàng)新導入時使用的制程工藝從成熟向先進工藝升級。供給受限于有限的產(chǎn)能。目前先進制程的供給端只有臺積電、三星、英特爾。英特爾為 IDM,自家消費級 10nm 產(chǎn)品產(chǎn)能不足、市場缺貨。受益于 5G、智能手機、HPC、AIoT等需求,7nm 及以下先進制程需求旺盛。臺積電為先進制程的核心晶圓代工廠,目前10nm 工藝客戶已經(jīng)超過 10 家,7nm EUV 客戶至少 5 家(蘋果、海思、高通、三星、 AMD),6nm 客戶除了 7nm EUV 的 5 家還多
28、了博通、聯(lián)發(fā)科。臺積電 7nm 產(chǎn)品持續(xù)滿產(chǎn),多個客戶爭搶產(chǎn)能,由臺積電進行產(chǎn)能配置。圖表 27:臺積電不同制程對應應用(2019-06)圖表 28:新產(chǎn)品從成熟制程往先進制程遷移資料來源:臺積電官網(wǎng)、 資料來源:ASML 官網(wǎng)、 圖表 29:7nm 及以下先進制程應用:智能手機、HPC、AIoT資料來源:拓璞產(chǎn)業(yè)研究、 晶圓制造行業(yè)技術(shù)復雜度不斷提升摩爾定律引領(lǐng)半導體產(chǎn)業(yè),實現(xiàn)產(chǎn)業(yè)持續(xù)升級需要貫穿整條產(chǎn)業(yè)鏈,包括上游(設(shè)備如光刻機廠商 ASML)、晶圓制造(臺積電、英特爾、三星)以及下游(IC 設(shè)計如蘋果、AMD、海思、高通、聯(lián)發(fā)科等)等環(huán)節(jié)的廠商協(xié)同。光刻機從 DUV 到浸入式 DUV,再
29、升級成 EUV,成為推進摩爾定律的重要環(huán)節(jié)。根據(jù) ASML 預測,晶圓代工領(lǐng)域節(jié)點會持續(xù)升級;內(nèi)存 DRAM 領(lǐng)域也將使用 EUV;閃存 Nand等向 3D 堆疊發(fā)展,不需要用EUV 升級。其他設(shè)備龍頭廠商如 AMAT、Lam Research、KLA Tencor 等也紛紛布局先進制程節(jié)點相關(guān)設(shè)備。圖表 30:ASML 預測半導體制程升級規(guī)劃資料來源:ASML 官網(wǎng)、 圖表 31:先進制程設(shè)備端布局資料來源:ASML 官網(wǎng)、 晶體管結(jié)構(gòu)創(chuàng)新,形態(tài)更加復雜。2011 年,英特爾在 22nm 時引入 FinFET,減少橫向尺寸,增加單位面積設(shè)備密度,同時增加鰭的高度。三星計劃于 20212022
30、 引入 GAA,應用于其 3nm 制程。臺積電除了 GAA 晶體管結(jié)構(gòu)之外,也進行其他方向布局。圖表 32:晶體管結(jié)構(gòu)變化圖表 33:下一代晶體管結(jié)構(gòu)資料來源:ASML 官網(wǎng)、 資料來源:IMEC、 先進封裝技術(shù)是高性能芯片的重要基礎(chǔ)之一。硅通孔(TSV)的三維封裝技術(shù)在超越摩爾定律中扮演重要角色。先進封裝技術(shù)提升了互聯(lián)密度和信號傳輸速率。在已經(jīng)量產(chǎn)的2.5D IC 領(lǐng)域,臺積電主推CoWoS 工藝,英特爾主推EMIB 工藝,三星主推 FOPLP。未來通過難度更高的 TSV 技術(shù),臺積電將進一步量產(chǎn) SoIC、WoW 等 3D IC,英特爾推出 Foveros 技術(shù),三星推出 3D SiC。圖
31、表 34:臺積電先進封裝技術(shù)一覽先進封裝技術(shù)InFOCoWoSSoICWoW技術(shù)名稱整合扇出型封裝基板上晶圓上晶系統(tǒng)整合晶片封晶圓堆疊晶圓封片封裝裝裝封裝結(jié)構(gòu)分類2.5D IC2.5D IC3D IC3D IC制程內(nèi)容概述晶圓級封裝將先進制程邏輯不同制程晶片與兩片相同制程晶(InFO-PoP、 InFO_oS、 InFO_MS、InFO_AIP 等)IC 及HBM/HBM2 整合封裝在第一晶片晶圓透過 TSV堆疊封裝圓直接透過 TSV堆疊封裝量產(chǎn)時間已量產(chǎn)已量產(chǎn)2021 年后2021 年后資料來源:臺積電、 圖表 35:臺積電布局 3D integration 封裝技術(shù)圖表 36: 三星布局先
32、進封裝技術(shù)資料來源:臺積電官網(wǎng)、 資料來源:三星官網(wǎng)、 圖表 37:臺積電、三星、英特爾均是堆疊封裝技術(shù)的主要參與者資料來源:Yole、 護城河加深,行業(yè)高壁壘、高集中、少進入者先進制程呈現(xiàn)資金、技術(shù)壁壘不斷提高的趨勢,行業(yè)格局逐漸出清。從制造環(huán)節(jié)而言,行業(yè)資金、技術(shù)壁壘極高,不僅十多年來沒出現(xiàn)新的競爭玩家,而且隨著制程分水嶺的出現(xiàn),越來越多的參與者從先進制程中“出局”。格羅方德在 2018 年宣布放棄 7nm 研發(fā),聯(lián)電在 2018 年宣布放棄 12nm 以下(即 7nm 及以下)的先進制程投資,因此保持先進制程研發(fā)的玩家僅剩行業(yè)龍頭臺積電、三星、英特爾等,以及處于技術(shù)追趕的中芯國際。圖表
33、38:晶圓廠制程升級規(guī)劃資料來源:各廠商、拓璞產(chǎn)業(yè)研究、 高資金壁壘和技術(shù)壁壘,行業(yè)十多年沒有新的競爭者出現(xiàn)且越來越多現(xiàn)有玩家放棄先進制程追趕。龐大的資金投入使得中小行業(yè)玩家望而卻步,復雜越來越高的工藝和技術(shù)成為行業(yè)固有護城河,并且隨著“摩爾定律”推進,每一個制程節(jié)點都舉步維艱,擁有高端制程能力的公司屈指可數(shù)。行業(yè)呈現(xiàn)寡頭壟斷,臺積電強者愈強。根據(jù)拓璞產(chǎn)業(yè)研究,2019 年全球十大晶圓代工廠分別為:臺積電、三星、格芯、聯(lián)電、中芯國際、TowerJazz、H-Grace、VIS、PSC、 DongbuHiTek。臺積電市占率超過 50%,在整個晶圓代工行業(yè),臺積電不管是技術(shù)領(lǐng)先性還是優(yōu)質(zhì)客戶和訂
34、單的選擇,都是保持比較大的優(yōu)勢。圖表 39:晶圓代工行業(yè)前十名收入(百萬美元)公司19Q1Q318Q1Q3YOYTSMC2424624887-2.60%Samsung92969559-2.80%GlobalFoundries41394636-10.70%UMC34303850-10.90%SMIC22762573-11.50%TowerJazz928970-4.40%H-Grace6906811.30%VIS676705-4.20%PSC5651014-44.30%DongbuHiTek433443-2.30%Top 104667949320-5.40%資料來源:各公司官網(wǎng)、拓璞產(chǎn)業(yè)研究、 目
35、前能夠提供 7nm 及 7nm 以下先進制程工藝(對應英特爾 10nm)的廠商僅有臺積電、英特爾和三星。根據(jù)拓璞產(chǎn)業(yè)研究,2019 年臺積電先進制程市場份額為 52%,英特爾約 25%,三星約 23%。圖表 40:先進制程產(chǎn)能分布資料來源:拓璞產(chǎn)業(yè)研究、 晶體管密度不斷提升,但不同廠商命名規(guī)格有區(qū)別。英特爾的 10nm 工藝晶體管密度介于臺積電 7nm 和 7nm EUV 之間。2019 年,臺積電 7nm 制程投資大概 100110K 產(chǎn)能,客戶較多。三星 7nm LPP(EUV)工藝產(chǎn)能大概 10K,三星的晶圓代工業(yè)務客戶主要是三星、高通、IBM。根據(jù)拓璞產(chǎn)業(yè)研究,2020 年臺積電 5n
36、m 產(chǎn)能預計 6070K,同時三星預計也會推出其 5nm 工藝。圖表 41:不同制程節(jié)點晶體管密度(標準化工藝節(jié)點以intel 10nm 為參考節(jié)點)工藝密度(MTr)標準化節(jié)點(nm)標準化級數(shù)狀態(tài)Intel 7nm201.67.113.0In ResearchSamsung 3GAE195.07.212.9In ResearchTSMC N5173.77.612.4In DevelopmentSamsung 4LPE145.88.311.6In ResearchSamsung 5LPE126.78.911.0In ResearchTSMC N6114.29.410.5In Developm
37、entTSMC N7+113.99.410.5HVMSamsung 6LPP112.89.510.5In ResearchIntel 10nm100.810.010.0LVMTSMC N7/N7P96.510.29.8HVMSamsung 7LPP95.310.39.8LVMSamsung 8LPP61.212.87.8HVMTSMC N1060.312.97.8HVMSamsung 10LPP51.813.97.1HVMIntel 14nm43.515.26.4HVMTSMC N1236.716.65.6HVMSamsung 14LPP32.917.55.2HVMTSMC N1628.218
38、.94.5HVMIntel 22nm15.325.71.8HVM資料來源:MebiuW、 臺積電積極推動先進制程,引領(lǐng)全行業(yè)。根據(jù)制程性能提升幅度上看,28nm、16nm、 7nm 等具有顯著提升幅度的節(jié)點,一般具有相對較長的壽命;而提升幅度較少的節(jié)點一般為過渡節(jié)點。臺積電 6nm 預計在 2020Q1 進行風險試產(chǎn),預計 2020 年年底量產(chǎn);5nm進入爬坡提升良率階段,預計 2020 年 3 月開始量產(chǎn)。臺積電的 5nm 邏輯密度將是之前 7nm 的 1.8 倍,SRAM 密度是 7nm 的 1.35 倍,可以帶來 15%的性能提升,以及 30%的功耗降低。圖表 42:臺積電制程升級路徑資
39、料來源:臺積電官網(wǎng)、 圖表 43:臺積電歷代制程PPA(power、performance、Are reduction)環(huán)比提升幅度16FF+ VS 20SOC10FF VS 16FF+7FF VS 16FF+7FF VS 10FF7FF+ VS 7FF5FF VS 7FF功率60%40%60%50%70%37%17%45%資料來源:臺積電官網(wǎng)、 英特爾在 10nm 制程上稍微落后,隨后 7nm 預計在 2021 年量產(chǎn),并持續(xù)進行優(yōu)化。英特爾制程升級歷史一般是兩年一次,但從 2014 年的 14nm 到 2019 年的 10nm,出現(xiàn)明顯的升級放緩。目前,英特爾的 10nm 工藝已經(jīng)量產(chǎn),但
40、存在缺貨問題。英特爾預計2020 年推出 10nm+,2021 年推出 7nm 及 10nm+,2022 年推出 7nm+,2023 年推出 7nm+。英特爾的晶圓廠主要用于生產(chǎn)自家 CPU。英特爾 2020 年capex 提升至 170 億美元,其中一半用于 7/5nm 和擴大 Fab 工廠。英特爾的 10nm 還是采用浸入式 DUV 設(shè)備,7nm 才開始導入 EUV 設(shè)備。英特爾的芯片從 2018H2 開始就供不應求,因此 2019 年 capex 為 162 億美元,產(chǎn)能增長 25%。圖表 44:19872019 英特爾制程升級路徑(縱坐標為制程 nm 數(shù))圖表 45:英特爾未來制程升級
41、規(guī)劃資料來源:英特爾官網(wǎng)、 資料來源:英特爾官網(wǎng)、 圖表 46:英特爾服務CPU 產(chǎn)品路線2014201520162017201820192020CPUHaswellBroadwellSkylakeCascade LakeCooper LakeIce Lake制程22nm14nm14nm+14nm+14nm+10nmchannel446688資料來源:英特爾官網(wǎng)、 三星積極投入晶圓代工領(lǐng)域,加快制程升級。三星在 2017 年將晶圓代工業(yè)務部門從系統(tǒng) LSI 中獨立出來,主要為全球客戶制造非存儲芯片。截止 2019 年底,三星晶圓代工專屬線包括 6 條 12 寸線和 3 條 8 寸線。提供包括
42、65 納米、45 納米、32/28 納米 HKMG、14 納米 FinFET、10 納米FinFET、7 納米 FinFET EUV 工藝,客戶包括蘋果、高通、超微半導體、賽靈思、英偉達、恩智浦(NXP)以及韓國本土公司 Telechips 等。三星計劃在 2020 年底試產(chǎn) 3nm 工藝,并專用 GAA MCFET 工藝技術(shù)。三星計劃在未來十年(至 2030年)共投資約 1150 億美元,用于爭取晶圓代工行業(yè)主導權(quán)。圖表 47:三星電子晶圓代工制程發(fā)展路徑資料來源:芯思想研究院、 半導體需求三駕馬車共振,國產(chǎn)替代迎來機遇創(chuàng)新趨勢不變:創(chuàng)新是決定電子行業(yè)的估值與持續(xù)成長的核心邏輯,本輪創(chuàng)新由
43、5G 驅(qū)動的數(shù)據(jù)中心、手機、通訊等歷史上第一次共振。中期供需仍緊張:全球半導體投資關(guān)注中期供需的核心變量需求與資本開支,疫情對短期需求會有一定擾動,但中期三大需求不受本質(zhì)影響,而全球資本開支截止 2019Q3末還沒有全面啟動,并有部分企業(yè)由于疫情再次遞延資本開支,中期供需缺口有望繼續(xù)放大。供給方面,全球資本開支除龍頭臺積電外尚未全面啟動,我們預計本次疫情中部分企業(yè)將再度進行資本開支遞延,中期供需缺口有望進一步放大,中期景氣度有望繼續(xù)保持向上趨勢!國產(chǎn)替代歷史性機遇開啟,2019 年正式從主題概念到業(yè)績兌現(xiàn),2020 年有望繼續(xù)加速。逆勢方顯優(yōu)質(zhì)公司本色,這是 19 年行業(yè)下行周期中 A 股半導
44、體公司迭超預期,優(yōu)質(zhì)標的國產(chǎn)替代、結(jié)構(gòu)改善逐步兌現(xiàn)至報表是核心原因。進入 2020 年,我們預計在國產(chǎn)化加速疊加行業(yè)周期景氣上行之下,A 股半導體龍頭公司們有望延續(xù)高增長表現(xiàn)。數(shù)據(jù)中心:數(shù)據(jù)中心回暖,受益于 5G 持續(xù)發(fā)展在目前服務器均價已經(jīng)企穩(wěn)的同時,我們認為在未來隨著 5G 對其的拉動,內(nèi)部升級將不間斷,5G 網(wǎng)絡(luò)帶來的傳輸速度將會較 4G 有質(zhì)的提升,服務器將會在應對存儲方面呈幾何倍數(shù)增長的需求的同時,還需要保持高帶寬、低時延、高穩(wěn)定性的要求,對于服務器而言無疑是在性能方面提出了更高的要求。服務器或有望在未來實現(xiàn)更進一步的價值量的提高,達到價量齊升的平臺。全球服務器行業(yè)已經(jīng)歷經(jīng)多年,其出
45、貨量從 2013 年至 2018 年也經(jīng)歷了起起伏伏。2018年,全球服務器市場出貨量再次實現(xiàn)了超越 10%的增長,主要源自于云計算、大數(shù)據(jù)、 AI 等新一代技術(shù)對互聯(lián)網(wǎng)企業(yè)持續(xù)拓展基礎(chǔ)架構(gòu)規(guī)模的推動,同時也刺激了傳統(tǒng)企業(yè)用戶的采購需求。2019 年,企業(yè)買家和超大規(guī)模公司通過 ODM 購買的需求比前幾個季度減少,這影響了第一季度的市場增長速度,但用戶對高配置服務器的需求將進一步支持平均售價的增長。圖表 48:全球服務器年出貨量統(tǒng)計資料來源:IDC 及國盛電子預測的 19Q4 出貨量, 隨著 IoT、AI(尤其智能安防)和智能駕駛時代到來,邊緣計算的快速成長帶來的性能需求將成為中長期半導體的成
46、長驅(qū)動!數(shù)據(jù)中心對服務器的需求成為整體服務器市場出貨成長的關(guān)鍵。我們預計近兩年來數(shù)據(jù)中心服務器的需求將在 2020 年前完成規(guī)劃,將繼續(xù)維持每年二至三成的年增率,推動服務器出貨量及市場的增長。圖表 49:IDC 服務器裝機量增長趨勢(千臺)資料來源:DRAMeXchange、 圖表 50:云計算資本開支金額(百萬USD)2013201420152016201720182019EAmazon3,4434,8935,3877,80411,95513,42614,130Apple7,83310,80311,64212,45611,92713,85812,183Google7,35810,0599,9
47、159,97213,13022,73924,698Facebook1,3621,8312,5234,4916,73213,98016,277Microsoft5,6875,2946,5529,1148,69614,22314,498Alibaba5377608581,4963,4675,5214,822Tencent9007381,1881,7511,9323,6164,231Baidu4287558066066801,3302,092IBM3,7683,8313,7793,7263,3133,7162,676Oracle5888011,6061,6281,9861,5201,992資料來源:
48、HIS、 我們根據(jù) IDC 以及 Gartner 對于過往季度的服務器出貨量以及對未來的服務器出貨量的預測進行調(diào)整后,我們預計在 2019 年后服務器行業(yè)將受到 5G 時代的沖擊,實現(xiàn)長期且穩(wěn)定的出貨量的增長,同時由于服務器產(chǎn)品的不斷升級,我們也預計其單價將在未來逐步增長。預計全球服務器的出貨量將會在 2020 年達到 1220 萬臺,而隨著 5G 的逐步鋪設(shè),在 2021 年將會繼續(xù)保持約 10%的增長,且之后預計將以每年 7%8%的增速穩(wěn)定且持久的增長。圖表 51:全球服務器自 2019 年后的出貨量預測(萬臺)資料來源:國盛電子根據(jù)Gartner、IDC 數(shù)據(jù)測算, 對于中國內(nèi)服務器需求
49、及出貨量而言,我們認為中國作為 5G 建設(shè)最快國,服務器方面的建設(shè)也將遙遙領(lǐng)先,同時由于中國在該方面進度略慢于海外,故在 2021 年之前服務器出貨量將維持高于全球增速的平臺之上,之后逐步恢復,與全球的服務器增速趨同。圖表 52:中國 X86 服務器出貨量及預測圖表 53:中國 X86 服務器市場規(guī)模資料來源:IDC, 資料來源:IDC, 圖表 54:數(shù)據(jù)中心的新SSD 儲存需求(ZB/年)圖表 55:數(shù)據(jù)中心對 300mm 硅片的需求(千片每月)資料來源:Sumco, 資料來源:IHS,Sumco, 我們依舊是根據(jù) Gartner 以及 IDC 的數(shù)據(jù)對服務器進行了簡單的分類:高性能運算服務
50、器以及傳統(tǒng)服務器。根據(jù)預測,在接下來數(shù)年內(nèi)服務器市場的增長將主要以可支撐 AI 計算方面的高性能服務器為主,同時也將帶動 CPU/GPU、以及Dram 的高增長。高性能運算服務器高性能同比增長傳統(tǒng)服務器傳統(tǒng)同比增長圖表 56:不同類型服務器出貨量預測(萬臺)圖表 57:服務器合計出貨量預測(萬臺)服務器出貨量YoY1200100080060040020002019E 2020E 2021E 2022E 2023E 2024E 2025E14%12%10%8%6%4%2%0%20001800160014001200100080060040020002019E 2020E 2021E 2022E
51、2023E 2024E 2025E12%10%8%6%4%2%0%資料來源:國盛電子根據(jù)Gartner、IDC 數(shù)據(jù)測算, 資料來源:國盛電子根據(jù)Gartner、IDC 數(shù)據(jù)測算, 圖表 58:全球服務器用DRAM、CPU、GPU 測算201720182019E2020E2021E2022E2023E2024E2025E服務器數(shù)量(單位:萬臺)高性能運算服務器48052858665172982392210241126傳統(tǒng)服務器577657526570609622638646661服務器總量105711851112122013381445156116701787yoy12%-6%10%8%8%7
52、%7%7%DRAM 需求測算(GB)高性能 DRAM 用量4005206507808971032118613641569傳統(tǒng)服務器 DRAM 用量250300330363381400420441463高性能 DRAM 需求(億)192738516585109140177yoy43%39%33%8%8%7%7%27%傳統(tǒng)服務器 DRAM 需求(億)142017212325272831yoy37%-12%19%8%8%7%7%7%DRAM 需求總量(億)3447557189110136168207yoy40%18%29%8%8%7%7%23%CPU 需求測算(個)單位高性能 CPU 數(shù)量22.12
53、.32.52.93.23.43.53.5單位傳統(tǒng)服務器 CPU 數(shù)量11.11.11.21.31.41.51.51.5高性能 CPU 需求(萬)96011091348162621132635313535833941yoy16%22%21%8%8%7%7%10%傳統(tǒng)服務器 CPU 需求(萬)577722579683792870958969991yoy25%-20%18%8%8%7%7%2%CPU 需求總量(萬)153718311927231029053505409345524932yoy19%5%20%8%8%7%7%8%GPU 需求測算(個)單位高性能 GPU 數(shù)量0.80.911.21.41
54、.61.81.91.9單位傳統(tǒng)服務器 GPU 數(shù)量0.20.20.30.30.30.40.40.50.5高性能 GPU 需求(萬)38447558678110201317166019452139yoy24%23%33%8%8%7%7%10%傳統(tǒng)服務器 GPU 需求(萬)115131158171183249255323330yoy14%20%8%8%8%7%7%2%GPU 需求總量(萬)49960774495212031566191522682470yoy21%23%28%8%8%7%7%9%匯總DRAM 需求總量(億 GB)334756718911013616820740%18%29%8%8%
55、7%7%23%CPU 需求總量(萬個)15371831192723102905350540934552493219%5%20%8%8%7%7%8%GPU 需求總量(萬個)499607449521203156619152268247021%23%28%8%8%7%7%9%資料來源:國盛電子根據(jù)Gartner,IDC,以及產(chǎn)業(yè)信息進行整理并測算, 手機:5G 放量“前夜”,單機硅含量提升中國手機市場正值 5G 放量的“前夜”。IDC 公布全球 2019 年 Q3 手機出貨量為 3.58 億部,同比增長 1%,智能手機的市場正在逐漸回暖。按照市場份額來看,排名第一的為三星,三季度出貨 7820 萬,
56、同比增長 8.3%。華為排名第二,三季度出貨 6660 萬,同比增長 28.2%。蘋果三季度出貨 4660 萬,同比下滑 0.6%。圖表 59:全球智能手機出貨量(百萬臺)資料來源:IDC、 圖表 60:全球智能手機按品牌出貨量(百萬臺)資料來源:IDC、 5G 芯片備貨量超預期,逐漸向中低端滲透。根據(jù) IDC 預測,2019 年 5G 手機出貨量為670 萬部,份額僅為 0.5%。到 2023 年,5G 手機出貨量將達到整體手機出貨量的 26%。各家 5G 芯片供應商紛紛加足馬力備貨,我們預計 2020 年全球 5G 手機出貨量為 2-3 億部。臺積電 7 納米制程產(chǎn)能在 2019 年第 3
57、 季開始全線爆滿的盛況,2020 年上半年都可能出現(xiàn)產(chǎn)能供不應求的局面。聯(lián)發(fā)科、高通、三星電子及海思等 5G 芯片供應商,都不斷要求上、下游協(xié)力廠大舉擴充產(chǎn)能,并有效拉高公司內(nèi)外的庫存水平。我們看到 5G 芯片的備貨開始向中低端加速滲透。圖表 61:5G 芯片備貨量(百萬顆)資料來源:IDC、 移動數(shù)據(jù)傳輸量和傳輸速度的不斷提高主要依賴于移動通訊技術(shù)的變革,及其配套的射頻前端芯片的性能的不斷提高。在過去的十年間,通信行業(yè)經(jīng)歷了從 2G 到 3G 再到 4G(FDD-LTE/TD-LTE)兩次重大產(chǎn)業(yè)升級。在 4G 普及的過程中,全網(wǎng)通等功能在高端智能手機中得到廣泛應用,體現(xiàn)了智能手機兼容不同通
58、信制式的能力。圖表 62:移動通訊技術(shù)的變革路線圖資料來源:卓勝微招股說明書、Global Radio Frequency Front-end Module Market Research Report 2017、 根據(jù) QYR Electronics Research Center 的統(tǒng)計,從 2011 年至 2018 年全球射頻前端市場規(guī)模以年復合增長率 13.10%的速度增長,2018 年達 149.10 億美元。受到 5G 網(wǎng)絡(luò)商業(yè)化建設(shè)的影響,自 2020 年起,全球射頻前端市場將迎來快速增長。2018 年至 2023 年全球射頻前端市場規(guī)模預計將以年復合增長率 16.00%持續(xù)高速
59、增長,預計 2023 年接近313.10 億美元。圖表 63:全球射頻前端市場規(guī)模預測(億美元)全球射頻前端市場(億美元)增長率3503002502001501005002011 2012 2013 2014 2015 2016 2017 2018 2019E 2020E 2021E 2022E 2023E25.0%20.0%15.0%10.0%5.0%0.0%資料來源:卓勝微招股說明書、Global Radio Frequency Front-end Module Market Research Report 2019、 隨著消費者對高質(zhì)量拍照、錄像的需求日益增加,攝像頭模組的進化是智能手機
60、發(fā)展的必經(jīng)之路。伴隨著雙攝、三攝滲透率的提高,市場將會開啟新的成像變革。根據(jù) Statista的預測,2018 年三攝滲透率僅為 1.6%,而到了 2020 年三攝的滲透率將達到 24.5%。在采用三攝的機型上,安卓陣營在今明兩年或比蘋果更加積極。圖表 64:全球手機攝像頭模組消費量(億顆)圖表 65:國內(nèi)手機攝像頭模組產(chǎn)量(億顆)出貨量YoY396%385%37364%353%34332%321%31300%20142015201620172018E2019E出貨量YoY403530252015105020142015201620172018E2019E10%9%8%7%6%5%4%3%2%
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 合格的企業(yè)合同 管理
- 通信工程專業(yè)合同條款
- 《蜜蜂導學案》課件
- 2025年衡陽貨運從業(yè)資格證考試試題及答案
- 2025年廣東從業(yè)資格證貨運題庫答案
- 公園建筑施工合同
- 精密儀器煙囪安裝合同模板
- 大數(shù)據(jù)項目招投標要點
- 超市廁所改造施工合同范本
- 高速公路照明安全管理辦法
- 雍琦版-《法律邏輯學》課后習題答案(共78頁)
- 咸水沽污水廠生物池清淤施工組織方案
- 二甘醇二苯甲酸酯(DEDB)
- 數(shù)字化變電站的IEC61850建模
- 管道閉水試驗記錄表自動計算軟件
- 學校綜合督導匯報ppt課件
- 人流咨詢話術(shù)
- 鐵路建設(shè)征地拆遷補償標準(附表)
- 農(nóng)村祠堂上梁說辭
- GB31644-2018食品安全國家標準復合調(diào)味料
- 建筑施工現(xiàn)場安全檢查的程序及要點
評論
0/150
提交評論