基于FPGA的多功能時鐘_第1頁
基于FPGA的多功能時鐘_第2頁
基于FPGA的多功能時鐘_第3頁
基于FPGA的多功能時鐘_第4頁
基于FPGA的多功能時鐘_第5頁
已閱讀5頁,還剩22頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、前言現(xiàn)代社會的標志之一就是信息產品的廣泛使用,而且是產品的性能越來越強,復雜程度越來越高,更新步伐越來越快。支撐信息電子產品高速發(fā)展的基礎就是微電子制造工藝水平的提高和電子產品設計開發(fā)技術的發(fā)展。前者以微細加工技術為代表,而后者的代表就是電子設計自動化(electronic design automatic, EDA)技術。本設計采用的VHDL是一種全方位的硬件描述語言,具有極強的描述能力,能支持系統(tǒng)行為級、寄存器傳輸級和邏輯門級這三個不同層次的設計;支持結構、數(shù)據(jù)流、行為三種描述形式的混合描述,覆蓋面廣,抽象能力強,因此在實際應用中越來越廣泛。ASIC是專用的系統(tǒng)集成電路,是一種帶有邏輯處理

2、的加速處理器;而FPGA是特殊的ASIC芯片,與其它的ASIC芯片相比,它具有設計開發(fā)周期短、設計制造成本低、開發(fā)工具先進、標準產品無需測試、質量穩(wěn)定以及可實時在線檢測等優(yōu)點。在控制系統(tǒng)中,鍵盤是常用的人機交換接口,當所設置的功能鍵或數(shù)字鍵按下的時候,系統(tǒng)應該完成該鍵所對應的功能。因此,按鍵信息輸入是與軟件結構密切相關的過程。根據(jù)鍵盤結構的不同,采用不同的編碼方法,但無論有無編碼以及采用什么樣的編碼,最后都要轉換成為相應的鍵值,以實現(xiàn)按鍵功能程序的轉移。1鐘表的數(shù)字化給人們生產生活帶來了極大的方便,而且大大地擴展了鐘表原先的報時功能。諸如定時自動報警、定時啟閉電路、定時開關烘箱、通斷動力設備,

3、甚至各種定時電氣的自動啟用等,所有這些都是以鐘表數(shù)字化為基礎的。因此研究數(shù)字鐘以及擴大其應用有著非?,F(xiàn)實的意義。1傳統(tǒng)電子實習學習內容1.1常用元器件識別任何電子電路都是由元器件組成的,而常用的元器件有電阻器、電容器、電感器和各種半導體器件(如二極管、三極管、集成電路等)。為了能正確地選擇和使用這些元、器件,就必須掌握它們的性能、結構與主要參數(shù)性能等有關知識。1.1.1電阻器的簡單識別與型號命名法 電阻器的簡單識別電阻器是電路元件中應用最廣泛的一種,在電子設備中約占元件總數(shù)的30%以上,其質量的好壞對電路工作的穩(wěn)定性有極大影響。電阻器主要用途是穩(wěn)定和調節(jié)電路中的電流和電壓,其次還可作為分流器、

4、分壓器和消耗電能的負載等。電阻器按結構可分為固定式和可變式兩大類。固定式電阻器一般稱為“電阻”。由于制作材料和工藝不同,可分為膜式電阻、實芯式電阻、金屬線繞電阻(RX)和特殊電阻四種類型。膜式電阻包括:碳膜電阻RT、金屬膜電阻RJ、合成膜電阻RH和氧化膜電阻RY等。實芯電阻包括:有機實芯電阻RS和無機實芯電阻RN。特殊電阻包括:MG型光敏電阻和MF型熱敏電阻??勺兪诫娮杵鞣譃榛€式變阻器和電位器。其中應用最廣泛的是電位器。圖1.常用電阻器外形及符號色環(huán)顏色的意義例如,四色環(huán)電阻器的第一、二、三、四道色環(huán)分別為棕、綠、紅、金色,則該電阻的阻值和誤差分別為:R=(1×10+5)×

5、;102=1500 電阻器的型號命名法表示例:RJ710.1255.1kI型的命令含義:1.1.2 選用電阻器常識1.根據(jù)電子設備的技術指標和電路的具體要求選用電阻的型號和誤差等級。2.為提高設備的可靠性,延長使用壽命,應選用額定功率大于實際消耗功率的1.5-2倍。3.電阻裝接前應進行測量、核對,尤其是在精密電子儀器設備裝配時,還需經人工老化處理,以提高穩(wěn)定性。4.在裝配電子儀器時,若所用非色環(huán)電阻,則應將電阻標稱值標志朝上,且標志順序一致,以便于觀察。5.焊接電阻時,烙鐵停留時間不宜過長。6.選用電阻時應根據(jù)電路中信號頻率的高低來選擇。7.電路中如需串聯(lián)或并聯(lián)電阻來獲得所需阻值時,應考慮其額

6、定功率。阻 值相同的電阻串聯(lián)或并聯(lián),額定功率等于各個電阻額定功率之和;阻值不同的電 阻串聯(lián)時,額定功率取決于高阻值電阻。并聯(lián)時,取決于低阻值電阻,且需計算 方可應用。1.1.3電容器的簡單識別與型號命名法電容器的簡單識別按其結構,可分為以下三種:固定電容器、半可變電容器(微調電容器)、可變電容器按電容器介質材料,可分為以下幾種:電解電容器、云母電容器、瓷介電容器、玻璃釉電容、紙介電容器、有機薄膜電容器電容器型號命名法表電容器型號命名法示例:CJX-250-0.33-±10%電容器的命令含義:1.1.4電容器質量優(yōu)劣的簡單測試 一般,我們利用萬用表的歐姆擋就可以簡單地測量出電解電容器的

7、優(yōu)劣情況,粗略地辨別其漏電、容量衰減或失效的情況。具體方法是:選用“R×1k”或“R×100”擋,將黑表筆接電容器的正極,紅表筆接電容器的負極,若表針擺動大,且返回慢,返回位置接近曲,說明該電容器正常,且電容量大;若表針擺動大,但返回時,表針顯示的值較小,說明該電容漏電流較大;若表針擺動很大,接近于0,且不返回,說明該電容器已擊穿;若表針不擺動,則說明該電容器已開路,失效。該方法也適用于辨別其他類型的電容器。但如果電容器容量較小時,應選擇萬用表的“R×10k”擋測量。另外,如果需要對電容器再一次測量時,必須將其放電后方能進行。選用電容器常識1.電容器裝接前應進行測

8、量,看其是否短路、斷路或漏電嚴重,并在裝入電路時,應使電容器的標志易于觀察,且標志順序一致。2.電路中,電容器兩端的電壓不能超過電容器本身的工作電壓。裝接時注意正、負極性不能接反。2.當現(xiàn)有電容器與電路要求的容量或耐壓不合適時,可以采用串聯(lián)或并聯(lián)的方法予以適應。當兩個工作電壓不同的電容器并聯(lián)時,耐壓值取決于低的電容 器;當兩個容量不同的電容器串聯(lián)時,容量小的電容器所承受的電壓高于容量大 的電容器。4.技術要求不同的電路,應選用不同類形的電容器。5.選用電容器時應根據(jù)電路中信號頻率的高低來選擇。1.1.5電感器的簡單識別與型號命名法電感器的分類:根據(jù)電感器的電感量是否可調,電感器分為固定、可變和

9、微調電感器??勺冸姼衅鞯碾姼辛靠衫么判驹诰€圈內移動而在較大的范圍內調節(jié)。它與固定電容器配合應用于諧振電路中起調諧作用。微調電感器可以滿足整機調試的需要和補償電感器生產中的分散性,一次調好后,一般不再變動。(a)電感器線圈 (b)帶磁芯、鐵心的電感器 (c)磁芯有間隙電感器 (d)帶磁芯連續(xù)可調電感器 (e)有抽頭電感器 (f)步進移動觸點的可變電感器 (g)可變電感器選用電感器常識1.在選電感器時,首先應明確其使用頻率范圍。鐵心線圈只能用于低頻;一般鐵氧體線圈、空心線圈可用于高頻。其次要弄清線圈的電感量。2.線圈是磁感應元件,它對周圍的電感性元件有影響。安裝時一定要注意電感性元件之間的相互位

10、置,一般應使相互靠近的電感線圈的軸線互相垂直,必要時可在電感性元件上加屏蔽罩。1.1.6半導體器件的簡單識別與型號命名半導體器件的簡單識別半導體二極管和三極管是組成分立元件電子電路的核心器件。二極管具有單向導電性,可用于整流、檢波、穩(wěn)壓、混頻電路中。三極管對信號具有放大作用和開關作用。半導體器件型號命名法半導體二極管和三極管是組成分立元件電子電路的核心器件。二極管具有單向導電性,可用于整流、檢波、穩(wěn)壓、混頻電路中。三極管對信號具有放大作用和開關作用。半導體器件型號命名法表1.2 焊接技術線路板,電路板, PCB板,pcb焊接技術近年來電子工業(yè)工藝發(fā)展歷程,可以注意到一個很明顯的趨勢就是回流焊技

11、術。原則上傳統(tǒng)插裝件也可用回流焊工藝,這就是通常所說的通孔回流焊接。其優(yōu)點是有可能在同一時間內完成所有的焊點,使生產成本降到最低。然而溫度敏感元件卻限制了回流焊接的應用,無論是插裝件還是SMD.繼而人們把目光轉向選擇焊接。大多數(shù)應用中都可以在回流焊接之后采用選擇焊接。這將成為經濟而有效地完成剩余插裝件的焊接方法,而且與將來的無鉛焊接完全兼容。選擇性焊接的工藝特點可通過與波峰焊的比較來了解選擇性焊接的工藝特點。兩者間最明顯的差異在于波峰焊中PCB的下部完全浸入液態(tài)焊料中,而在選擇性焊接中,僅有部分特定區(qū)域與焊錫波接觸。由于PCB本身就是一種不良的熱傳導介質,因此焊接時它不會加熱熔化鄰近元器件和P

12、CB區(qū)域的焊點。在焊接前也必須預先涂敷助焊劑。與波峰焊相比,助焊劑僅涂覆在PCB下部的待焊接部位,而不是整個PCB.另外選擇性焊接僅適用于插裝元件的焊接。選擇性焊接是一種全新的方法,徹底了解選擇性焊接工藝和設備是成功焊接所必需的。選擇性焊接的流程典型的選擇性焊接的工藝流程包括:助焊劑噴涂,PCB預熱、浸焊和拖焊。助焊劑涂布工藝在選擇性焊接中,助焊劑涂布工序起著重要的作用。焊接加熱與焊接結束時,助焊劑應有足夠的活性防止橋接的產生并防止PCB產生氧化。助焊劑噴涂由X/Y機械手攜帶PCB通過助焊劑噴嘴上方,助焊劑噴涂到PCB待焊位置上。助焊劑具有單嘴噴霧式、微孔噴射式、同步式多點/圖形噴霧多種方式。

13、回流焊工序后的微波峰選焊,最重要的是焊劑準確噴涂。微孔噴射式絕對不會弄污焊點之外的區(qū)域。微點噴涂最小焊劑點圖形直徑大于2mm,所以噴涂沉積在PCB上的焊劑位置精度為±0.5mm,才能保證焊劑始終覆蓋在被焊部位上面,噴涂焊劑量的公差由供應商提供,技術說明書應規(guī)定焊劑使用量,通常建議100%的安全公差范圍。預熱工藝在選擇性焊接工藝中的預熱主要目的不是減少熱應力,而是為了去除溶劑預干燥助焊劑,在進入焊錫波前,使得焊劑有正確的黏度。在焊接時,預熱所帶的熱量對焊接質量的影響不是關鍵因素,PCB材料厚度、器件封裝規(guī)格及助焊劑類型決定預熱溫度的設置。在選擇性焊接中,對預熱有不同的理論解釋:有些工藝

14、工程師認為PCB應在助焊劑噴涂前,進行預熱;另一種觀點認為不需要預熱而直接進行焊接。使用者可根據(jù)具體的情況來安排選擇性焊接的工藝流程。1.3電路板印制技術SMT工藝是利用釬料或焊膏在元件與電路板連接之間構成機械與電氣兩方面的連接,其主要優(yōu)點在于尺寸小、重量輕、互連性好;高頻電路的性能好,寄生阻抗顯著降低;抗沖擊力與振動性能好。采用SMT工藝時引線不需穿過電路板,可避免產生引線接受或輻射而得來的信號,進而提高電路的信噪比。進行電路板設計時,可通過DFM(可制造性設計)來完成。DFM是并行工程(CE)關鍵技術的重要組成部分,它從產品設計開始,考慮可制造性和可檢測性,從設計到制造一次成功,是電路板設

15、計的一種有效工具。評價SMT工藝性能的好壞,首先應使焊點能夠正確成型;而正確成型的前提是必須合理設計PCB板上元器件的焊盤尺寸;其次在PCB板布局時要合理安排元件的密度,滿足測試點的要求。進行電路板設計時,可通過DFM(可制造性設計)來完成。DFM是并行工程(CE)關鍵技術的重要組成部分,它從產品設計開始,考慮可制造性和可檢測性,從設計到制造一次成功,是電路板設計的一種有效工具。1.3.1 PCB材料選擇印刷電路板基材主要有二大類:有機類基板材料和無機類基板材料,使用最多的是有機類基板材料。層數(shù)不同使用的PCB基材也不同,比如34層板要用預制復合材料,雙面板則大多使用玻璃環(huán)氧樹脂材料。無鉛化電

16、子組裝過程中,由于溫度升高,印刷電路板受熱時發(fā)生彎曲的程度加大,故在SMT中要求盡量采用彎曲程度小的板材,如FR-4等類型的基板。由于基板受熱后的脹縮應力對元件產生的影響,會造成電極剝離,降低可靠性,故選材時還應該注意材料膨脹系數(shù),尤其在元件大于3.2×1.6mm時要特別注意。表面組裝技術中用PCB要求高導熱性,優(yōu)良耐熱性(150,60min)和可焊性(260,10s),高銅箔粘合強度(1.5×104Pa以上)和抗彎強度(25×104Pa),高導電率和小介電常數(shù)、好沖裁性(精度±0.02mm)及與清洗劑兼容性,另外要求外觀光滑平整,不可出現(xiàn)翹曲、裂紋、傷

17、痕及銹斑等。印制電路板厚度有0.5mm、0.7mm、0.8mm、1mm、1.5mm、1.6mm、(1.8mm)、2.7mm、(3.0mm)、3.2mm、4.0mm、6.4mm,其中0.7mm和1.5mm板厚的PCB用于帶金手指雙面板的設計,1.8mm和3.0mm為非標尺寸。印制電路板尺寸從生產角度考慮,最小單板不應小于250×200mm,一般理想尺寸為(250350mm)×(200×250mm),對于長邊小于125mm或寬邊小于100mm的PCB,易采用拼板的方式。表面組裝技術對厚度為1.6mm基板彎曲量的規(guī)定為上翹曲0.5mm,下翹曲1.2mm。通常所允許的彎曲

18、率在0.065%以下。1.3.2 PCB導通孔及元器件布局導通孔布局1.避免在表面貼裝焊盤以內或距表面貼裝焊盤0.6mm以內設置導通孔。2.無外引腳的元器件焊盤(如片狀電阻電容、可調電位器及電容等),其焊盤之間不允許有通孔(即元件下面不開導通孔;若用阻焊膜堵死可以除外),以保證清洗質量。3.作為測試支撐用的導通孔,在設計布局時,需充分考慮不同直徑的探針進行自動在線測試時的最小間距。4.導通孔徑與元件引線的配合間隙太大易虛焊。一般導通孔徑比引線直徑大0.050.2mm,焊盤直徑為導通孔徑的2.53倍時,易形成合格焊點。5.導通孔與焊盤不能相連,以避免因焊料流失或熱隔離。如導通孔確需與焊盤相連,應

19、盡可能用細線(小于焊盤寬度1/2的連線或0.3mm0.4mm)加以互連,且導通孔與焊盤邊緣間距離大于1mm。元器件布局進行再流焊工藝時,元件排列方向應注意以下幾點:1.板面元件分布應盡可能均勻(熱均勻和空間均勻);2.元器件應盡可能同一方向排列,以便減少焊接不良的現(xiàn)象;3.元器件間的最小間距應大于0.5mm,避免溫度補償不夠;4.PLCC、SOIC、QFP等大器件周圍要留有一定的維修、測試空間;5.功率元件不宜集中,要分開排布在PCB邊緣或通風、散熱良好位置;6.貴重元件不要放在PCB邊緣、角落或靠近插件、貼裝孔、槽、拼板切割、豁口等高應力集中區(qū),減少開裂或裂紋。1.3.3元器件方向進行波峰焊

20、工藝時,元件排列方向應注意以下幾點:1.所有無源元件要相互平行;2.SOIC與無源元件的較長軸要互相垂直;3.無源元件的長軸要垂直于板沿著波峰焊接機傳送帶的運動方向;4.有極性的表面組裝元件盡可能以相同的方向放置;5.在焊接SOIC等多引腳元件時,應在焊料流方向最后兩個焊腳處設置竊錫焊盤或焊盤面積加位,以防止橋連;6.類型相似的元件應該以相同的方向排列在板上,使得元件貼裝、檢查和 焊接時更容易;7.采用不同組裝工藝時,要考慮元件引腳及重量對再流焊或波峰焊工藝的 適應性,防止掉件或漏焊,比如波峰焊接面上元件需能承受260高溫,切不能是四邊有引腳器件。1.3.4.PCB線路及焊盤設計線路工藝設計要

21、求1.印制電路板工藝夾持邊最小為5mm。2.避免導線與焊盤成一定角度相連,力求導線垂直于元器件的焊盤,且導 線應從焊盤的長邊中心與焊盤相連。3.減小導線連通焊盤處的寬度,除非受電荷容量、加工極限等因素的限制, 否則最大寬度為0.4mm或焊盤寬度的一半(以小焊盤為準)。一是為了防止 散熱太快,二是防止阻焊層精度不夠,造成焊錫流動,形成不良焊接。4.印制電路板導線結構:線寬與間距為0.6mm的正常刻蝕技術制作的走線;線寬與間距為0.3mm的細線刻蝕技術制作的細走線;線寬0.3mm,間距0.15mm的超細走線。5.不同的組裝方式,布線要求也不同。插裝方式引線寬度為0.2mm以上,貼裝方式引線寬度為0

22、.10.2mm,精細間距組裝引線寬度為0.050.1mm。6.應盡量避免在其焊盤之間穿越互連線(特別是細間距的引腳器件),凡穿越相鄰焊盤之間的互連線,必須用阻焊膜對其加以遮隔。7.對于多引腳元器件(如S0IC、QFP等),引腳焊盤之間的短接處不允許直通,應由焊盤引出互連線之后再短接(若用阻焊膜加以遮隔可以除外),以免產生位移或焊后被誤認為發(fā)生了橋接。8.對于有未封裝的芯片(裸片)的PCB設計時,裸片的田字形焊盤應接地線而不宜懸空;另外為保證可靠鍵合,要求焊盤一定均勻鍍金。對于有方向性的元器件,如三極管、芯片等在布線時應注意其極性。線路電氣設計要求1.引腳間距內過線原則:低密度要求在2.54mm

23、引腳中心距內穿過2條線徑為0.23mm的導線;中密度要求在1.27mm引腳中心距內穿過1條線徑為0.15mm的導線;高密度要求在1.27mm引腳中心距內穿過23條更細導線。2.印制板線條的寬度要求盡量一致,這樣有利于阻抗匹配。從印制板制作工藝來講,寬度可以做到0.3mm,0.2mm及0.1mm,但隨著線條變細,間距變小,生產過程中質量將難以控制。除非有特殊要求,一般選用0.3mm線寬和0.3mm線間距的布線原則是比較適宜的。3.盡量走短線,特別是對小信號電路來講,線越短電阻越小,干擾越小,同時藕合線長度盡量減短。4.多層板走線方向:按電源層,地線層和信號層分開,減少電源、地、信號之間的干擾。而

24、且要求相鄰兩層印制板的線版權法應盡量相互垂直或走斜線、曲線,而不平行走線,以利于減少基板層間藕合和干擾。5.電源線,地線設計原則:走線面積越大越好,以利于減少干擾,對于高頻信號線最好是用地線屏蔽。大面積的電源層地線層要相鄰,其作用是在電源和地之間形成一個電容,起到濾波作用。焊盤設計焊盤尺寸對SMT產品的可制造性和壽命有著很大的影響,是PCB線路設計的極其關鍵部分,對焊點的可靠性、焊接過程中可能出現(xiàn)的缺陷、可測試性和檢修量等都起著顯著作用。元器件制作要求不一樣,焊盤設計應根據(jù)元器件規(guī)格進行制作,方能保證線路的可靠性和防止工藝缺陷(如豎碑及偏斜),顯示SMT的優(yōu)越性。在進行具體設計時,還必須根據(jù)具

25、體產品的組裝密度、不同工藝、不同的設備以及特殊元器件的要求進行設計。目前表面組裝元器件還沒有統(tǒng)一標準,不同的國家,不同的廠商所生產的元器件外形封裝都有差異,所以在設計焊盤尺寸時,應與自己所選用的元器件的封裝外形、引腳等相適應,確定焊盤長度和寬度。常用的元件焊盤設計可以參考一些標準,如IPC-SM-782、IPC-7095、IPC-7525、IEC-TC52 WG6、JIS C-5010和電子行業(yè)工藝標準匯編。焊盤設計時應遵循以下幾點:1.對于同一個器件,凡是對稱使用的焊盤,設計時應嚴格保持其全面的對稱性,即焊盤圖形的形狀與尺寸應完全一致;2.對同一種器件,焊盤設計采用封裝尺寸最大值和最小值為參

26、數(shù),計算焊盤尺寸,保證設計結果適用范圍寬;3.焊盤設計時,焊點可靠性主要取決于長度而不是寬度;4.焊盤設計要適當:太大則焊料鋪展面較大,形成的焊點較?。惠^小則焊盤銅箔對熔融焊料的表面張力太小,當銅箔的表面張力小于熔融焊料表面張力時,形成的焊點為不浸潤焊點;5.焊盤與較大面積的導電區(qū)(如地、電源等平面)相連時,應通過一較細導線進行熱隔離,一般寬度為0.20.4,長度約為0.6mm。6.波峰焊時焊盤設計一般比再流焊時大,因為波峰焊中元件有膠水固定,焊盤稍大,不會危及元件的移位和直立,相反卻能減少波烽焊“遮蔽效應”。矩形元件(L×W)焊盤寬度C與元件焊端寬度W之間的關系為:C=W×

27、;(0.71.3)mm。對于 0805以下的阻容元器件,CW;對于0805以上的阻容元器件,CW0.10.25mm。 長度為約0.9mm左右,焊盤間距為A=L0.7mm。厚度相差很大,如電阻器僅為電容器的一半左右,在焊盤設計時應加以注意,尤其是小尺寸阻容元件,應考慮端頭側面良好的浸潤焊接。另外,元源二端片元件端頭焊區(qū)上,下并不完全一致,為了可靠焊接,也需要端側浸潤焊接。所以,要求焊盤比元件的焊區(qū)大。圓柱形元件(D×L)MELF元件焊盤圖形設計公式:焊盤的寬度為C=D×(0.71.0)mm=max,長度S=Lmax-(Lmin-2I),約為1mm左右,兩焊盤間距為A=Lmax

28、-2S=Lmin-2I,約為L-1mm。(僅考慮元件公差的理想設計,未考慮帖放誤差)具體制作時,考慮到元件貼裝誤差,尺寸要稍微放大。再流焊時,寬度增加0.050.1mm,長度增加0.20.3mm;波峰焊時,寬度增加0.1mm,長度增加0.20.6mm。另外再流焊工藝時,希望在焊盤設計時開一個缺口,以便元件在再流焊過程中定位。缺口深度尺寸F=(Lmax-A)/2,缺口深度E取0.3mm(對小尺寸元件,如1/8W電阻)和0.4mm(對尺寸較大的元件,如1/4W電阻)。由于一般焊盤銅層厚度(包括鍍層和阻焊層)不會超過0.2mm,缺口E不宜取得過大。SOP(翼型引腳)、QFP封裝器件這類器件焊盤設計沒

29、有標準的計算公式,相對困難。焊盤寬度C應等于(或稍大/?。┖付耍ɑ蛞_)的寬度,一般為C=W+0.1mm。焊盤長度常取2.0±0.5mm,一般為B=T+b1+b2,其中b1=0.450.6mm,有利于焊料熔融時能形成良好的彎月形輪廓的焊點,還能有效避免釬料產生橋連缺陷及兼顧元器件的貼裝偏差為宜;b2=0.251.5mm,主要以保證能形成最佳的彎月形輪廓的焊點為宜,(對于SOIC、QFP等器件還應兼顧其焊盤抗剝離的能力)。對于SOIC、QFP器件,焊盤長度B=T+(0.60.8)mm,焊盤中心之間的間距與芯片本身的間距相等,焊盤的空隙等于(或稍小于)引線間的空隙。腳間距在1.27mm以

30、上的SO、SOJ等IC芯片,焊盤寬度C1.2W,腳間距在0.651.27之間,焊盤寬度CW,一般為C=W+0.10.25mm;而對于0.65mm包括0.65mm引腳間距以下的IC芯片,焊盤寬度應等于引腳的寬度。QFP焊盤寬度應等于引腳的寬度,C=W+0.1mm;為對于細間距的QFP,有時候焊盤寬度要適當減小,如在兩焊盤之間有引線穿過時。焊盤長度B=L+(0.61.0)mm,焊盤間距AF0.25mm。同時較長的焊盤,增大了焊膏與焊盤之間的表面張力利于焊膏釋放,給印制焊膏工藝帶來方便。實際應用中還證明焊盤上引腳前后有過盈區(qū)非常有利于過量的焊料儲料以較少焊后橋連危險。晶體管(SOT)焊盤寬度C與元件

31、引線寬度W之間的關系為:CW;焊盤長度元件引腳長度b1+b2,其中b1b20.30.5mm;焊盤間距在保證等于引線中心距的基礎上,將每個焊盤四邊的尺寸向外延伸至少0.35mm。SOJ、PLCC器件(J形引腳)焊盤設計原則:(0.50.8mm)×(1.852.15mm);引腳中心應在焊盤圖形內側1/3至焊盤中心之間;SOJ相對兩排焊盤間距一般為4.9mm。BGA焊盤設計及假焊盤BGA焊盤形狀為圓形,直徑為焊球直徑的80,設計時最好采用公制尺寸,因為元件是按公制生產的,按英制設計會造成貼裝偏差。從組裝工藝因素考慮,有時在二端片式元件下面設計一個假焊盤,它并不作焊接用,而是為波峰焊點膠之用

32、,故稱傀儡圖形。該圖形使膠與元件粘連容易,不致因膠面過低而粘不上元件。1.3.5.基準點標記制作要求1.基準標志常用圖形有正方形、圓形、三角形和十字形,基準點標記最小的直徑為0.5mm,最大為3mm。一般置23個直徑為1mm的實心圓于板對角線上作為基準標志。如是拼板,則每塊拼板應設計有基準標志;2.同一塊板上的標記尺寸力求相同,變化不許超過25m;3.基準點可以是裸銅,或在上面鍍鎳、鍍錫、鍍焊料(HASL,厚度710m)。鍍層厚度首選510m,最大不超過25m,基準點表面平整度應該在15m內; 4.基準點離印制板邊緣至少5mm,形狀不規(guī)則的板應該另外加5mm的板邊。放置位于板和元器件的對角線,

33、基準點標記周圍不能有其它電路特征,其空曠區(qū)尺寸最好等于標記直徑;5.拼板可采用郵票板或雙面對刻V型槽的分離技術,V型槽深度控制在板厚的1/61/8,長度控制在所在邊的1/3內;雙面貼裝不進行波峰焊的PCB,可采用雙數(shù)拼板正反面各半,兩面圖形按相同的排列方式可以提高設備利用率;6.引腳間距在0.65mm以下的細間距貼裝IC,應在其焊盤圖形附近增設基準標志,一般在對角線上設置兩個對稱基準點作為貼片機光學定位和校準用。1.3.6.測試點制作要求關鍵性元件需要在PCB上設計測試點。用于焊接表面組裝元件的焊盤不允許兼作檢測點,必須另外設計專用的測試焊盤,以保證焊點檢測和生產調試的正常進行。用于測試的焊盤

34、盡可能的安排于PCB的同一側面上,即便于檢測,又利于降低檢測所花的費用。工藝設計要求1.測試點距離PCB邊緣需大于5mm;2.測試點不可被阻焊劑或文字油墨覆蓋;3.測試點最好鍍焊料或選用質地較軟、易貫穿、不易氧化的金屬,以保證可靠接地,延長探針使用壽命4.測試點需放置在元件周圍1mm以外,避免探針和元件撞擊;5.測試點需放置在定位孔(配合測試點用來精確定位,最佳用非金屬化孔,定位孔誤差應在±0.05mm內)環(huán)狀周圍3.2mm以外;6.測試點的直徑不小于0.4mm,相鄰測試點的間距最好在2.54mm以上,但不要小于1.27mm;7.測試面不能放置高度超過6.4mm的元器件,過高的元器件

35、將引起在線測試夾具探針對測試點的接觸不良;8.測試點中心至片式元件端邊的距離C與SMD高度H有如下關系:SMD高度H3mm,C2mm;SMD高度H3mm,C4mm。9.測試點焊盤的大小、間距及其布局還應與所采用的測試設備有關要求相匹配。電氣設計要求1.盡量將元件面的SMC/SMD測試點通過過孔引到焊接面,過孔直徑大于1mm,可用單面針床來測試,降低測試成本;2.每個電氣接點都需有一個測試點,每個IC需有電源和接地測試點,且盡可能接近元件,最好在2.54mm以內;3.電路走線上設置測試點時,可將其寬度放大到1mm;4.測試點應均勻分布在PCB上,減少探針壓應力集中;5.PCB上供電線路應分區(qū)域設

36、置測試斷點,以便電源去耦合或故障點查詢。設置斷點時應考慮恢復測試斷點后的功率承載能力。1.3.7.設計不當造成的缺陷分析表面組裝焊盤圖形確定了元器件在印制電路板上的焊接位置,它的設計合理與否直接決定了焊接強度,對保證產品的可靠性起著關鍵的作用。由于焊盤設計不恰當,通常會造成一些不良的焊接缺陷,如表5所示。造成以上缺陷的主要原因有:1.由于矩形片式元件焊端外側的焊盤長度決定焊料熔融時能否形成良好的彎月形輪廓焊點。過短的焊盤長度會影響熔融焊料沿元器件焊端和PCB焊盤結合處的金屬表面潤濕鋪展所能達到的幾何尺寸,從而影響焊點形態(tài),降低焊點的可靠性。2.過小的焊盤間隙,過窄的焊盤寬度,使涂覆于焊盤上的焊

37、膏量不足,導致虛焊焊點的產生。3.由于QFP封裝的器件的引腳為翼形,主焊點形成位置在翼形引腳的內側,因此在設計這種窄間距器件的焊盤長度時,必須保證焊盤上的引腳前后端都有過盈的焊盤,其目的是使焊料在溶化后能形成有效的彎月面,以增強焊接強度;過盈端還可以讓過量的焊料有一個“溢料區(qū)”,可以減少橋接。4.因焊盤設計不當?shù)淖枞菰?,焊點較大,隨強度高,但元件與PCB之間的應力全部由焊料吸收,大的焊點形態(tài)不易使應力得到釋放,易疲勞失效。翼型引腳焊點形態(tài),焊點根部圓角的高度(h)和長度(X)是影響焊點拉伸強度的主要參數(shù),內側X要偏長。 5.SOIC、SOJ、PLCC封裝類元器件焊盤用橢圓形,焊盤寬度與焊盤間

38、距的比例為6:4較好,6.細間距QFP器件焊盤圖形優(yōu)選橢圓形,焊盤長度與焊件可焊引腳長度的比例為2.53:1。7.焊盤寬度設計為引腳中心距的55%左右為較好,可減少橋連。8.鷗翼形引腳,焊點輪廓主要形成在引腳內側,應保證引腳內側焊盤長度為整個焊盤長度的二分之三,J形引腳焊點輪廓主要形成于引腳外測,應保證引腳外測焊盤長度為整個焊盤長度的二分之三。通過對具體元器件焊點缺陷原因的分析,找到了焊盤設計不合理的原因,為合理地改進焊盤設計提供了依據(jù)。2現(xiàn)代電子設計技術總體方案設計2.1項目設計要點1.能夠正確的連線及下載。2.能夠完成以秒為最小及時單位的時鐘設計。3.時鐘能夠正常調整時、分、秒的時間。擴展

39、功能1.能夠完成整點報時的功能。2.能夠完成預設時鐘的功能。2.2方案論述2.2.1數(shù)字鐘的構成數(shù)字鐘實際上是一個對標準頻率(1HZ)進行計數(shù)的計數(shù)電路。由于計數(shù)的起始時間不可能與標準時間(如北京時間)一致,故需要在電路上加一個校時電路,同時標準的1HZ時間信號必須做到準確穩(wěn)定,通常使用石英晶體振蕩器電路構成數(shù)字鐘。2.2.2數(shù)字鐘的工作原理振蕩器產生穩(wěn)定的高頻脈沖信號,作為數(shù)字鐘的時間基準,然后經過分頻器輸出標準秒脈沖。秒計數(shù)器滿60后向分計數(shù)器進位,分計數(shù)器滿60后向小時計數(shù)器進位,小時計數(shù)器按照“24翻1”規(guī)律計數(shù)。計數(shù)滿后各計數(shù)器清零,重新計數(shù)。計數(shù)器的輸出分別經譯碼器送數(shù)碼管顯示。計

40、時出現(xiàn)誤差時,可以用校時電路校時、校分。時基電路可以由石英晶體振蕩電路構成,假設晶振頻率1MHz,經過6次十分頻就可以得到秒脈沖信號。譯碼顯示電路由八段譯碼器完成。2.2.3 數(shù)字鐘硬件電路設計1.系統(tǒng)芯片的選取本系統(tǒng)擬采用Altera公司Cyclone系列的EP1C6Q240芯片。選用該款芯片的原因是:1.Altera公司的Quartus II開發(fā)環(huán)境非常友好、直觀,為整個系統(tǒng)的開發(fā)提供了極大的方便;2.該FPGA片內邏輯資源、IO端口數(shù)和RAM容量都足夠用,并且價格相對來說比較便宜,速度快,可以滿足要求,且有很大的升級空間。EP1C6Q240是Altera公司生產的Cyclone I代、基

41、于1.5V(內核),3.3V(I/O),0.13um和SRAM的FPGA,容量為2910個LE,擁有13個 M4KRAM(4K位+奇偶校驗)塊;除此之外,還集成了許多復雜的功能,提供了全功能的鎖相環(huán)(PLL),用于板級的時鐘網絡管理和專用I/O口,這些接口用于連接業(yè)界標準的外部存儲器器件,具有成本低和使用方便的特點,具有以下特性:新的可編程架構通過設計實現(xiàn)低成本;嵌入式存儲資源支持各種存儲器應用和數(shù)字信號處理器(DSP);采用新的串行置器件如EPCS1的低成本配置方案;支持LVTTL、LVCMOS、SSTL-2以及SSTL-3 I/O標準;支持66MHZ,32位PCI標準;支持低速(311Mb

42、ps)LVDS I/O;支持串行總線和網絡接口及各種通信協(xié)議;使用PLL管理片內和片外系統(tǒng)時序;支持外部存儲器,包括 DDR SDRAM(133MHZ),F(xiàn)CRAM以及 SDR SDRAM;支持多種IP,包括Altera公司的MegaCore以及其合伙組織的IP,支持最新推出的Nios II嵌入式處理器,具有超凡的性能、低成本和最完整的一套軟件開發(fā)工具。72.3 軟件介紹本設計所用軟件主要是QuartusII,在此對它做一些介紹。QuartusII是Altera提供的FPGA/CPLD開發(fā)集成環(huán)境,Altera是世界上最大的可編程邏輯器件供應商之一。QuartusII提供了一種與結構無關的設計

43、環(huán)境,使設計者能方便地進行設計輸入、快速處理和器件編程。Altera公司的QuartusII 開發(fā)工具人機界面友好、易于使用、性能優(yōu)良,并自帶編譯、仿真功能。QuartusII軟件完全支持VHDL設計流程,其內部嵌有VHDL邏輯綜合器。QuartusII 也可以利用第三方的綜合工具,如FPGA Compiler II,并能直接調用這些工具。同樣,QuartusII具備仿真功能,同時也支持第三方的仿真工具。此外,QuartusII與MATLAB和DSP Builder結合,可以進行基于FPGA的DSP系統(tǒng)開發(fā),是DSP硬件系統(tǒng)實現(xiàn)的關鍵EDA技術。QuartusII包括模塊化的編譯器。編譯器包括

44、的功能模塊有分析/綜合器、適配器、裝配器、時序分析器、設計輔助模塊、EDA網表文件生成器、編輯數(shù)據(jù)接口等。可以通過選擇Start Compilation來運行所有的編譯器模塊,也可以通過選擇Start單獨運行各個模塊。在Compiler Tool窗口中,可以打開該模塊的設置文件或報告文件,或者打開其它相關窗口。圖2.3上排所示的是QuartusII編譯設計主控界面,它顯示了QuartusII自動設計的各主要處理環(huán)節(jié)和設計流程,包括設計輸入編輯、設計分析與綜合、適配、編程文件匯編、時序參數(shù)提取以及編程下載幾個步驟。圖2.3下排的流程框圖是與上面的QuartusII設計流程相對照的標準的EDA開發(fā)

45、流程。圖2.3 QuartusII設計流程在設計輸入之后,QuartusII的編譯器將給出設計輸入的錯誤報告。QuartusII擁有性能良好的設計錯誤定位器,用于確定文本或圖形設計中的錯誤。在進行編譯后,可對設計進行時序仿真。在仿真前,需要利用波形編輯器編輯一個波形激勵文件。編譯和仿真檢測無誤后,便可將下載信息通過QuartusII提供的編程器下載入目標器件中了。6QuartusII圖形用戶界面的基本設計流程如下:1.使用New Project Wizard(File菜單)建立新工程并指定目標器件或器件系列。2.使用Text Editor(文本編輯器)建立 Verilog HDL、VHDL或A

46、ltera硬件描述語言(AHDL)設計??梢允褂肂lock Editor(原理圖編輯器)建立流程圖或原理圖。流程圖中可以包含代表其它設計文件的符號;還可以使用MegaWizard Plug-In Manager生成宏功能模塊和IP內核的自定義變量,在設計中將它們實例化。3.(可選)使用 Assignment Editor、Settings 對話框(Assignments 菜單)、Floorplan Editor或LogicLock功能指定初始設計的約束條件。4.(可選)使用SOPC Builder或DSP Builder建立系統(tǒng)級設計。5.(可選)使用Software Builder為Exca

47、libur器件處理器或Nios嵌入式處理器建立軟件和編程文件。6.使用Analysis & Synthesis對設計進行綜合。7.(可選)使用仿真器對設計執(zhí)行功能仿真。8.使用Fitter對設計執(zhí)行布局布線。在對源代碼進行少量更改之后,還可以使用增量布局布線。9.使用Timing Analyzer對設計進行時序分析。10.使用仿真器對設計進行時序仿真。 11.(可選)使用物理綜合、時序底層布局圖、LogicLock功能、Settings對話框和 Assignment Editor進行設計優(yōu)化,實現(xiàn)時序關閉。12.使用Assembler為設計建立編程文件。 13.使用編程文件、Progr

48、ammer 和Altera硬件編程器對器件進行編程;或將編程文件轉換為其它文件格式以供嵌入式處理器等其它系統(tǒng)使用。14.(可選)使用SignalTap II Logic Analyzer、SignalProbe功能或Chip Editor對設計進行調試。15.(可選)使用Chip Editor、Resource Property Editor和Change Manager進行工程更改管理。2.4 芯片介紹芯片引腳實驗箱上的引腳圖實驗箱最多可提供4個時鐘頻率輸入:CLOCK0、CLOCK2、CLOCK5、CLOCK9。對于“CLOCK0”,如右圖,信號頻率范圍:0.5Hz50MHz,同時只能插一

49、個短路帽進行頻率選擇。右側分三個頻率源組,它們分別對應三組時鐘輸入端:CLOCK2、CLOCK5、CLOCK9。如右圖,例如,將三個短路帽分別插于對應座的2Hz、1024Hz和12MHz,則CLOCK2、CLOCK5、CLOCK9分別獲得上述三個信號頻率。所以直接接CLOCK0,輸入1Hz的時鐘頻率。實驗結果: 3單元電路設計3.1分頻模塊設計晶體振蕩器是構成數(shù)字式時鐘的核心,振蕩器的穩(wěn)定度及頻率的精度決定了數(shù)字鐘計時的準確程度,它保證了時鐘的走時準確及穩(wěn)定。石英晶體的選頻特性非常好,只有某一頻率點的信號可以通過它,其它頻率段的信號均會被它所衰減,而且,振蕩信號的頻率與振蕩電路中的R、C組件的

50、數(shù)值無關。因此這種振蕩電路輸出的是準確度極高的信號。然后再利用分頻電路,將其輸出信號轉變?yōu)?秒信號,其組成框圖如圖4.1。秒信號分頻電路石英晶體振蕩電路 圖4.1 秒信號產生電路框圖本系統(tǒng)使用的晶體振蕩器電路給數(shù)字鐘提供了一個頻率穩(wěn)定準確的1HZ的方波信號,其輸出至分頻電路。而如果用分頻電路的邏輯框圖如圖4.2所示。圖4.2分頻模塊3.2 計時功能模塊設計計時模塊需對時、分、秒進行計數(shù),其中小時位為24進制,分鐘和秒鐘位為60進制??梢杂靡欢纬绦驅r、分、秒進行連續(xù)計數(shù),也可以對時、分、秒的高位和低位分別計數(shù)。注意,如果采用連續(xù)計數(shù)方式,因為每位顯示時有高位和低位之分,所以需將十進制數(shù)據(jù)用BC

51、D碼進行轉換后,才能輸出到七段數(shù)碼管上顯示。 圖4.3時模塊 圖4.4分模塊 圖4.5秒模塊4系統(tǒng)功能及功能仿真4.1系統(tǒng)功能1.秒分時的依次顯示并正確計數(shù);2.定時鬧鐘:實現(xiàn)整點報時,揚聲器發(fā)出報時聲音;3.時間設置,即手動調時功能:當認為時鐘不準確時,可以分別對分時進行調整;4.2功能仿真各個模塊的仿真波形:1.秒計數(shù)器仿真圖2.分計數(shù)器仿真圖3.時計數(shù)器仿真圖4.整點報時仿真圖5.調時調分仿真圖6.LED顯示譯碼仿真圖7.頂層模塊仿真圖5設計總結做課程設計同時也是對課本知識的鞏固和加強,由于課本上的知識太多,平時課間的學習并不能很好的理解和運用各個元件的功能,而且考試內容有限,所以在這次

52、課程設計過程中,我們了解了很多元件的功能,并且對于其在電路中的使用有了更多的認識。平時看課本時,有時問題老是弄不懂,做完課程設計,那些問題就迎刃而解了。而且還可以記住很多東西。比如一些芯片的功能,平時看課本,這次看了,下次就忘了,但通過動手實踐讓我們對各個元件映象深刻。認識來源于實踐,實踐是認識的動力和最終目的,實踐是檢驗真理的唯一標準。所以這個期末測試之后的課程設計對我們的作用是非常大的。6參考文獻1姜雪松,吳鈺淳,王鷹1VHDL 設計實例與仿真M 1北京:機械工業(yè)出版社, 2007.1。2Stefan Sjohp lm,LennartL indh1VHDL設計電子線路M1邊計年,薛宏熙,譯

53、1北京:清華大學出版社, 20001。3. 李國洪,沈明山.可編程器件EDA 技術與實踐M.北京:機械工業(yè)出版社,20044.周紅,劉光蓉,張紅武. 利用MAX+ plus 進行數(shù)字邏輯課程設計J . 武漢工業(yè)學院學報,2004.4。附錄:完整實驗程序一、時計數(shù)器源代碼library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour isport( clk,reset:in std_logic;daout:out std_logic_vector(5 downto 0);end entity

54、 hour;architecture fun of hour issignal count:std_logic_vector(5 downto 0);begindaout<=count;process(clk,reset)beginif(reset='0')thencount<="000000"elsif(clk'event and clk='1')thenif (count(3 downto 0)="1001")thenif(count<=16#23#)thencount<=count+7

55、;else count<="000000"end if;elsif(count<16#23#)thencount<=count+1;else count<="000000"end if;end if;end process;end fun;二、分計數(shù)器源代碼library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity minute isport( clk,clk1,reset,sethour:in std_logic;enhour:o

56、ut std_logic;daout:out std_logic_vector(6 downto 0);end entity minute;architecture fun of minute issignal count:std_logic_vector(6 downto 0);signal enhour_1,enhour_2:std_logic;begindaout<=count;enhour_2<=(sethour and clk1);enhour<=(enhour_1 or enhour_2);process(clk,reset,sethour)beginif(res

57、et='0')thencount<="0000000"elsif(clk'event and clk='1')thenif(count(3 downto 0)="1001")thenif(count<16#60#)thenif(count="1011001")thenenhour_1<='1'count<="0000000"else count<=count+7;end if;else count<="000000

58、0"end if;elsif(count<16#60#)thencount<=count+1;enhour_1<='0'after 100 ns;else count<="0000000"end if;end if;end process;end fun;三、秒計數(shù)器源代碼library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity second isport( clk,reset,setmin:in std_logic;enmin:out std_logic;daout:out std_logic

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論